OpenCores
URL https://opencores.org/ocsvn/uart8systemc/uart8systemc/trunk

Subversion Repositories uart8systemc

[/] [uart8systemc/] [trunk/] [testbench/] [module_tb.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 redbear
 
2
`timescale 1ns/1ns
3
module module_tb;
4
 
5
        reg CLK;
6
        wire RESET;
7
        wire RX;
8
        wire START;
9
        wire [7:0] DATA_TX;
10
        wire [11:0] WORK_FR;
11
        wire TX;
12
        wire [7:0] DATA_RX;
13
        wire PARITY_RX;
14
        wire READY_TX;
15
        wire READY;
16
 
17
        assign DATA_TX = DATA_RX;
18
 
19
        initial CLK = 1'b0;
20
        always #(10) CLK = ~CLK;
21
 
22
        integer i;
23
 
24
        initial
25
         begin
26
            $dumpfile("module_tb.vcd");
27
            $dumpvars(0,module_tb);
28
            $global_init;
29
            i=0;
30
         end
31
 
32
        UART DUT(
33
                        .CLK(CLK),
34
                        .RESET(RESET),
35
                        .RX(RX),
36
                        .START(START),
37
                        .DATA_TX(DATA_TX),
38
                        .WORK_FR(WORK_FR),
39
                        .TX(TX),
40
                        .DATA_RX(DATA_RX),
41
                        .PARITY_RX(PARITY_RX),
42
                        .READY_TX(READY_TX),
43
                        .READY(READY)
44
                );
45
 
46
 
47
        always@(posedge CLK)
48
                $reset_uart;
49
 
50
        always@(posedge CLK)
51
                $execute_uart;
52
 
53
        always@(posedge CLK)
54
                $global_counter;
55
 
56
        //FLAG USED TO FINISH SIMULATION PROGRAM 
57
        always@(posedge CLK)
58
        begin
59
                wait(i == 1);
60
                $finish();
61
        end
62
 
63
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.