OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [SYC0001a.vhd] - Blame information for rev 36

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 36 leonardoar
--! @file
2
--! @brief SYSCON core avaible at: http://www.pldworld.com/_hdl/2/_ip/-silicore.net/wishbone.htm
3
 
4 27 leonardoar
library ieee;
5
use ieee.std_logic_1164.all;
6
 
7
 
8
entity SYC0001a is
9
    port(
10 36 leonardoar
           -- WISHBONE Interface
11
            CLK_O:  out std_logic;      --! Clock output
12
            RST_O:  out std_logic;      --! Reset output
13 27 leonardoar
            -- NON-WISHBONE Signals
14 36 leonardoar
            EXTCLK: in  std_logic;      --! Clock input
15
            EXTRST: in  std_logic       --! Reset input
16 27 leonardoar
         );
17
 
18
end SYC0001a;
19
 
20
 
21
 
22 36 leonardoar
--! @brief Architecture definition. of SYSCON core
23
--! @details Architecture definition. of SYSCON core
24 27 leonardoar
architecture SYC0001a1 of SYC0001a IS
25
 
26
begin
27 36 leonardoar
 
28 27 leonardoar
 
29
    MAKE_VISIBLE: process( EXTCLK, EXTRST )
30
    begin
31
 
32
        CLK_O <= EXTCLK;
33
        RST_O <= EXTRST;
34
 
35
    end process MAKE_VISIBLE;
36
 
37
end architecture SYC0001a1;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.