OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [Sim_ConfigWishboneSlave.wcfg] - Blame information for rev 35

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 35 leonardoar
2
3
   
4
   
5
   
6
      
7
         
8
            
9
            
10
            
11
            
12
            
13
            
14
         
15
      
16
   
17
   
18
   
19
      rst_i
20
      rst_i
21
   
22
   
23
      clk_i
24
      clk_i
25
   
26
   
27
      adr_i0[1:0]
28
      adr_i0[1:0]
29
   
30
   
31
      dat_i0[31:0]
32
      dat_i0[31:0]
33
      HEXRADIX
34
   
35
   
36
      we_i
37
      we_i
38
   
39
   
40
      stb_i
41
      stb_i
42
   
43
   
44
      serial_in
45
      serial_in
46
   
47
   
48
      dat_o0[31:0]
49
      dat_o0[31:0]
50
      HEXRADIX
51
   
52
   
53
      ack_o
54
      ack_o
55
   
56
   
57
      serial_out
58
      serial_out
59
   
60
   
61
      data_avaible
62
      data_avaible
63
   
64
   
65
      clk_i_period
66
      clk_i_period
67
   
68

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.