OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [_xmsgs/] [xst.xmsgs] - Blame information for rev 20

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 leonardoar
2
7 2 leonardoar
8 19 leonardoar
"E:/uart_block/hdl/iseProject/uart_control.vhd" line 53: Unconnected output port 'reminder' of component 'divisor'.
9
10 16 leonardoar
 
11 20 leonardoar
"E:/uart_block/hdl/iseProject/uart_control.vhd" line 84: Mux is complete : default of case is discarded
12 19 leonardoar
13 16 leonardoar
 
14 20 leonardoar
The FF/Latch <cycle_wait_oversample_24> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_25> <half_cycle0_23> 
15
16 16 leonardoar
 
17 20 leonardoar
The FF/Latch <cycle_wait_oversample_7> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_8> <half_cycle0_6> 
18 19 leonardoar
19 16 leonardoar
 
20 20 leonardoar
The FF/Latch <cycle_wait_oversample_19> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_20> <half_cycle0_18> 
21 19 leonardoar
22 16 leonardoar
 
23 20 leonardoar
The FF/Latch <cycle_wait_oversample_20> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_21> <half_cycle0_19> 
24 19 leonardoar
25 16 leonardoar
 
26 20 leonardoar
The FF/Latch <cycle_wait_oversample_15> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_16> <half_cycle0_14> 
27 19 leonardoar
28 16 leonardoar
 
29 20 leonardoar
The FF/Latch <cycle_wait_oversample_0> in Unit <uBaudGen> is equivalent to the following FF/Latch, which will be removed : <half_cycle_1> 
30 19 leonardoar
31 16 leonardoar
 
32 20 leonardoar
The FF/Latch <cycle_wait_oversample_29> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_30> <half_cycle0_28> 
33 19 leonardoar
34 16 leonardoar
 
35 20 leonardoar
The FF/Latch <cycle_wait_oversample_3> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_4> <half_cycle0_2> 
36 19 leonardoar
37 16 leonardoar
 
38 20 leonardoar
The FF/Latch <cycle_wait_oversample_27> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_28> <half_cycle0_26> 
39 19 leonardoar
40 16 leonardoar
 
41 20 leonardoar
The FF/Latch <cycle_wait_oversample_23> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_24> <half_cycle0_22> 
42 19 leonardoar
43 16 leonardoar
 
44 20 leonardoar
The FF/Latch <cycle_wait_oversample_8> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_9> <half_cycle0_7> 
45 19 leonardoar
46 16 leonardoar
 
47 20 leonardoar
The FF/Latch <cycle_wait_oversample_5> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_6> <half_cycle0_4> 
48 19 leonardoar
49 16 leonardoar
 
50 20 leonardoar
The FF/Latch <cycle_wait_oversample_18> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_19> <half_cycle0_17> 
51 19 leonardoar
52 16 leonardoar
 
53 20 leonardoar
The FF/Latch <cycle_wait_oversample_14> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_15> <half_cycle0_13> 
54 19 leonardoar
55 16 leonardoar
 
56 20 leonardoar
The FF/Latch <cycle_wait_oversample_28> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_29> <half_cycle0_27> 
57 19 leonardoar
58 16 leonardoar
 
59 20 leonardoar
The FF/Latch <cycle_wait_oversample_26> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_27> <half_cycle0_25> 
60 19 leonardoar
61 16 leonardoar
 
62 20 leonardoar
The FF/Latch <cycle_wait_oversample_1> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_2> <half_cycle0_0> 
63 19 leonardoar
64 16 leonardoar
 
65 20 leonardoar
The FF/Latch <cycle_wait_oversample_22> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_23> <half_cycle0_21> 
66 19 leonardoar
67 16 leonardoar
 
68 20 leonardoar
The FF/Latch <cycle_wait_oversample_17> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_18> <half_cycle0_16> 
69 19 leonardoar
70 16 leonardoar
 
71 20 leonardoar
The FF/Latch <cycle_wait_oversample_31> in Unit <uBaudGen> is equivalent to the following 5 FFs/Latches, which will be removed : <cycle_wait_oversample_30> <half_cycle_31> <half_cycle0_31> <half_cycle0_30> <half_cycle0_29> 
72 19 leonardoar
73 16 leonardoar
 
74 20 leonardoar
The FF/Latch <cycle_wait_oversample_13> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_14> <half_cycle0_12> 
75 19 leonardoar
76 16 leonardoar
 
77 20 leonardoar
The FF/Latch <cycle_wait_oversample_9> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_10> <half_cycle0_8> 
78 19 leonardoar
79 16 leonardoar
 
80 20 leonardoar
The FF/Latch <cycle_wait_oversample_6> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_7> <half_cycle0_5> 
81 19 leonardoar
82 16 leonardoar
 
83 20 leonardoar
The FF/Latch <cycle_wait_oversample_11> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_12> <half_cycle0_10> 
84 19 leonardoar
85 16 leonardoar
 
86 20 leonardoar
The FF/Latch <cycle_wait_oversample_25> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_26> <half_cycle0_24> 
87 19 leonardoar
88 16 leonardoar
 
89 20 leonardoar
The FF/Latch <cycle_wait_oversample_21> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_22> <half_cycle0_20> 
90 19 leonardoar
91 16 leonardoar
 
92 20 leonardoar
The FF/Latch <cycle_wait_oversample_16> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_17> <half_cycle0_15> 
93 19 leonardoar
94 16 leonardoar
 
95 20 leonardoar
The FF/Latch <cycle_wait_oversample_2> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_3> <half_cycle0_1> 
96 19 leonardoar
97 16 leonardoar
 
98 20 leonardoar
The FF/Latch <cycle_wait_oversample_12> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_13> <half_cycle0_11> 
99 19 leonardoar
100 16 leonardoar
 
101 20 leonardoar
The FF/Latch <cycle_wait_oversample_10> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_11> <half_cycle0_9> 
102 19 leonardoar
103 16 leonardoar
 
104 20 leonardoar
The FF/Latch <cycle_wait_oversample_4> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_5> <half_cycle0_3> 
105 19 leonardoar
106 16 leonardoar
 
107 20 leonardoar
FF/Latch <cycle_wait_oversample_31> (without init value) has a constant value of 0 in block <uBaudGen>. This FF/Latch will be trimmed during the optimization process.
108 19 leonardoar
109 16 leonardoar
 
110 20 leonardoar
FF/Latch <cycle_wait_oversample_31> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
111 19 leonardoar
112 16 leonardoar
 
113 20 leonardoar
Due to other FF/Latch trimming, FF/Latch <cycle_wait_oversample_30> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
114 19 leonardoar
115 16 leonardoar
 
116 20 leonardoar
Due to other FF/Latch trimming, FF/Latch <half_cycle_31> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
117
118
 
119
Due to other FF/Latch trimming, FF/Latch <half_cycle0_31> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
120
121
 
122
Due to other FF/Latch trimming, FF/Latch <half_cycle0_30> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
123
124
 
125
Due to other FF/Latch trimming, FF/Latch <half_cycle0_29> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
126
127
 
128
The FF/Latch <cycle_wait_oversample_24> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_25> <half_cycle0_23> 
129
130
 
131
The FF/Latch <cycle_wait_oversample_7> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_8> <half_cycle0_6> 
132
133
 
134
The FF/Latch <cycle_wait_oversample_19> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_20> <half_cycle0_18> 
135
136
 
137
The FF/Latch <cycle_wait_oversample_20> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_21> <half_cycle0_19> 
138
139
 
140
The FF/Latch <cycle_wait_oversample_15> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_16> <half_cycle0_14> 
141
142
 
143
The FF/Latch <cycle_wait_oversample_0> in Unit <baud_generator> is equivalent to the following FF/Latch, which will be removed : <half_cycle_1> 
144
145
 
146
The FF/Latch <cycle_wait_oversample_29> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_30> <half_cycle0_28> 
147
148
 
149
The FF/Latch <cycle_wait_oversample_3> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_4> <half_cycle0_2> 
150
151
 
152
The FF/Latch <cycle_wait_oversample_27> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_28> <half_cycle0_26> 
153
154
 
155
The FF/Latch <cycle_wait_oversample_23> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_24> <half_cycle0_22> 
156
157
 
158
The FF/Latch <cycle_wait_oversample_8> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_9> <half_cycle0_7> 
159
160
 
161
The FF/Latch <cycle_wait_oversample_5> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_6> <half_cycle0_4> 
162
163
 
164
The FF/Latch <cycle_wait_oversample_18> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_19> <half_cycle0_17> 
165
166
 
167
The FF/Latch <cycle_wait_oversample_14> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_15> <half_cycle0_13> 
168
169
 
170
The FF/Latch <cycle_wait_oversample_28> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_29> <half_cycle0_27> 
171
172
 
173
The FF/Latch <cycle_wait_oversample_26> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_27> <half_cycle0_25> 
174
175
 
176
The FF/Latch <cycle_wait_oversample_1> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_2> <half_cycle0_0> 
177
178
 
179
The FF/Latch <cycle_wait_oversample_22> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_23> <half_cycle0_21> 
180
181
 
182
The FF/Latch <cycle_wait_oversample_17> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_18> <half_cycle0_16> 
183
184
 
185
The FF/Latch <cycle_wait_oversample_13> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_14> <half_cycle0_12> 
186
187
 
188
The FF/Latch <cycle_wait_oversample_9> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_10> <half_cycle0_8> 
189
190
 
191
The FF/Latch <cycle_wait_oversample_6> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_7> <half_cycle0_5> 
192
193
 
194
The FF/Latch <cycle_wait_oversample_11> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_12> <half_cycle0_10> 
195
196
 
197
The FF/Latch <cycle_wait_oversample_25> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_26> <half_cycle0_24> 
198
199
 
200
The FF/Latch <cycle_wait_oversample_21> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_22> <half_cycle0_20> 
201
202
 
203
The FF/Latch <cycle_wait_oversample_16> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_17> <half_cycle0_15> 
204
205
 
206
The FF/Latch <cycle_wait_oversample_2> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_3> <half_cycle0_1> 
207
208
 
209
The FF/Latch <cycle_wait_oversample_12> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_13> <half_cycle0_11> 
210
211
 
212
The FF/Latch <cycle_wait_oversample_10> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_11> <half_cycle0_9> 
213
214
 
215
The FF/Latch <cycle_wait_oversample_4> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_5> <half_cycle0_3> 
216
217
 
218
The FF/Latch <current_s_FSM_FFd1> in Unit <serial_receiver> is equivalent to the following FF/Latch, which will be removed : <data_ready> 
219
220
 
221
Node <uUartControl/uDiv/reminder_31> of sequential type is unconnected in block <uart_wishbone_slave>.
222
223
 
224
Node <uUartControl/uDiv/reminder_30> of sequential type is unconnected in block <uart_wishbone_slave>.
225
226
 
227
Node <uUartControl/uDiv/reminder_29> of sequential type is unconnected in block <uart_wishbone_slave>.
228
229
 
230
Node <uUartControl/uDiv/reminder_28> of sequential type is unconnected in block <uart_wishbone_slave>.
231
232
 
233
Node <uUartControl/uDiv/reminder_27> of sequential type is unconnected in block <uart_wishbone_slave>.
234
235
 
236
Node <uUartControl/uDiv/reminder_26> of sequential type is unconnected in block <uart_wishbone_slave>.
237
238
 
239
Node <uUartControl/uDiv/reminder_25> of sequential type is unconnected in block <uart_wishbone_slave>.
240
241
 
242
Node <uUartControl/uDiv/reminder_24> of sequential type is unconnected in block <uart_wishbone_slave>.
243
244
 
245
Node <uUartControl/uDiv/reminder_23> of sequential type is unconnected in block <uart_wishbone_slave>.
246
247
 
248
Node <uUartControl/uDiv/reminder_22> of sequential type is unconnected in block <uart_wishbone_slave>.
249
250
 
251
Node <uUartControl/uDiv/reminder_21> of sequential type is unconnected in block <uart_wishbone_slave>.
252
253
 
254
Node <uUartControl/uDiv/reminder_20> of sequential type is unconnected in block <uart_wishbone_slave>.
255
256
 
257
Node <uUartControl/uDiv/reminder_19> of sequential type is unconnected in block <uart_wishbone_slave>.
258
259
 
260
Node <uUartControl/uDiv/reminder_18> of sequential type is unconnected in block <uart_wishbone_slave>.
261
262
 
263
Node <uUartControl/uDiv/reminder_17> of sequential type is unconnected in block <uart_wishbone_slave>.
264
265
 
266
Node <uUartControl/uDiv/reminder_16> of sequential type is unconnected in block <uart_wishbone_slave>.
267
268
 
269
Node <uUartControl/uDiv/reminder_15> of sequential type is unconnected in block <uart_wishbone_slave>.
270
271
 
272
Node <uUartControl/uDiv/reminder_14> of sequential type is unconnected in block <uart_wishbone_slave>.
273
274
 
275
Node <uUartControl/uDiv/reminder_13> of sequential type is unconnected in block <uart_wishbone_slave>.
276
277
 
278
Node <uUartControl/uDiv/reminder_12> of sequential type is unconnected in block <uart_wishbone_slave>.
279
280
 
281
Node <uUartControl/uDiv/reminder_11> of sequential type is unconnected in block <uart_wishbone_slave>.
282
283
 
284
Node <uUartControl/uDiv/reminder_10> of sequential type is unconnected in block <uart_wishbone_slave>.
285
286
 
287
Node <uUartControl/uDiv/reminder_9> of sequential type is unconnected in block <uart_wishbone_slave>.
288
289
 
290
Node <uUartControl/uDiv/reminder_8> of sequential type is unconnected in block <uart_wishbone_slave>.
291
292
 
293
Node <uUartControl/uDiv/reminder_7> of sequential type is unconnected in block <uart_wishbone_slave>.
294
295
 
296
Node <uUartControl/uDiv/reminder_6> of sequential type is unconnected in block <uart_wishbone_slave>.
297
298
 
299
Node <uUartControl/uDiv/reminder_5> of sequential type is unconnected in block <uart_wishbone_slave>.
300
301
 
302
Node <uUartControl/uDiv/reminder_4> of sequential type is unconnected in block <uart_wishbone_slave>.
303
304
 
305
Node <uUartControl/uDiv/reminder_3> of sequential type is unconnected in block <uart_wishbone_slave>.
306
307
 
308
Node <uUartControl/uDiv/reminder_2> of sequential type is unconnected in block <uart_wishbone_slave>.
309
310
 
311
Node <uUartControl/uDiv/reminder_1> of sequential type is unconnected in block <uart_wishbone_slave>.
312
313
 
314
Node <uUartControl/uDiv/reminder_0> of sequential type is unconnected in block <uart_wishbone_slave>.
315
316
 
317
Node <uUartControl/uDiv/R_31> of sequential type is unconnected in block <uart_wishbone_slave>.
318
319
 
320
HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.
321
322
 
323 6 leonardoar
324 19 leonardoar
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.