OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [fuse.log] - Blame information for rev 11

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 leonardoar
Running: /opt/Xilinx/13.4/ISE_DS/ISE/bin/lin/unwrapped/fuse -intstyle ise -incremental -o /home/laraujo/work/uart_block/hdl/iseProject/testBaud_generator_isim_beh.exe -prj /home/laraujo/work/uart_block/hdl/iseProject/testBaud_generator_beh.prj work.testBaud_generator
2 10 leonardoar
ISim O.87xd (signature 0x8ddf5b5d)
3
Number of CPUs detected in this system: 4
4
Turning on mult-threading, number of parallel sub-compilation jobs: 8
5
Determining compilation order of HDL files
6
Parsing VHDL file "/home/laraujo/work/uart_block/hdl/iseProject/pkgDefinitions.vhd" into library work
7 11 leonardoar
Parsing VHDL file "/home/laraujo/work/uart_block/hdl/iseProject/baud_generator.vhd" into library work
8
Parsing VHDL file "/home/laraujo/work/uart_block/hdl/iseProject/testBaud_generator.vhd" into library work
9 10 leonardoar
Starting static elaboration
10
Completed static elaboration
11 11 leonardoar
Fuse Memory Usage: 36516 KB
12
Fuse CPU Usage: 1080 ms
13 10 leonardoar
Compiling package standard
14
Compiling package std_logic_1164
15 11 leonardoar
Compiling package std_logic_arith
16
Compiling package std_logic_unsigned
17 10 leonardoar
Compiling package pkgdefinitions
18 11 leonardoar
Compiling architecture behavioral of entity baud_generator [baud_generator_default]
19
Compiling architecture behavior of entity testbaud_generator
20 10 leonardoar
Time Resolution for simulation is 1ps.
21 11 leonardoar
Waiting for 1 sub-compilation(s) to finish...
22
Compiled 8 VHDL Units
23
Built simulation executable /home/laraujo/work/uart_block/hdl/iseProject/testBaud_generator_isim_beh.exe
24
Fuse Memory Usage: 85608 KB
25
Fuse CPU Usage: 1160 ms
26
GCC CPU Usage: 290 ms

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.