OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [iseProject.gise] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 leonardoar
2
3
 
4
  
5
 
6
  
7
 
8
  
9
 
10
  
11
 
12
  
13
 
14
  
15
 
16
  
17
 
18
  
19
 
20
  11.1
21
 
22
  
23
 
24
  
25
    
26 6 leonardoar
    
27
    
28
    
29
    
30
    
31
    
32
    
33
    
34
    
35 8 leonardoar
    
36 6 leonardoar
    
37
    
38
    
39 2 leonardoar
    
40
    
41
    
42
    
43
    
44
    
45
    
46
    
47
    
48
    
49
    
50
    
51
    
52
    
53
    
54
    
55
    
56
    
57
    
58
    
59
    
60
    
61
    
62
    
63
    
64
    
65
    
66
    
67 6 leonardoar
    
68
    
69
    
70
    
71 2 leonardoar
    
72
    
73
    
74
    
75
    
76
  
77
 
78
  
79 8 leonardoar
    
80 2 leonardoar
      
81
      
82
    
83 8 leonardoar
    
84 2 leonardoar
      
85
      
86 6 leonardoar
      
87
      
88 2 leonardoar
      
89
      
90
      
91 6 leonardoar
      
92
      
93 2 leonardoar
      
94
      
95
    
96 8 leonardoar
    
97 2 leonardoar
      
98
      
99
    
100 8 leonardoar
    
101 2 leonardoar
      
102
      
103
    
104 8 leonardoar
    
105 2 leonardoar
      
106
      
107
    
108 8 leonardoar
    
109 2 leonardoar
      
110
      
111 6 leonardoar
      
112
      
113 2 leonardoar
      
114
      
115
      
116 6 leonardoar
      
117
      
118 2 leonardoar
      
119
      
120
    
121 8 leonardoar
    
122 2 leonardoar
      
123
      
124
      
125
      
126
      
127 6 leonardoar
      
128
      
129 2 leonardoar
      
130
    
131 8 leonardoar
    
132 2 leonardoar
      
133
      
134
      
135
      
136 6 leonardoar
      
137 2 leonardoar
    
138
    
139
      
140
      
141
    
142
  
143
 
144

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.