OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [isim.log] - Blame information for rev 24

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 21 leonardoar
ISim log file
2 22 leonardoar
Running: /home/laraujo/work/uart_block/hdl/iseProject/testUart_wishbone_slave_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -wdb /home/laraujo/work/uart_block/hdl/iseProject/testUart_wishbone_slave_isim_beh.wdb
3 21 leonardoar
ISim O.87xd (signature 0x8ddf5b5d)
4
WARNING: A WEBPACK license was found.
5
WARNING: Please use Xilinx License Configuration Manager to check out a full ISim license.
6
WARNING: ISim will run in Lite mode. Please refer to the ISim documentation for more information on the differences between the Lite and the Full version.
7
This is a Lite version of ISim.
8
Time resolution is 1 ps
9
# onerror resume
10
# wave add /
11
# run 1000 ms
12
Simulator is doing circuit initialization process.
13
Finished circuit initialization process.
14 22 leonardoar
at 10 ns(1), Instance /testuart_wishbone_slave/uut/uUartControl/uDiv/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
15
at 10 ns(1), Instance /testuart_wishbone_slave/uut/uUartControl/uDiv/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
16
at 10 ns(1), Instance /testuart_wishbone_slave/uut/uUartCommunicationBlocks/uBaudGen/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
17 21 leonardoar
 
18
** Failure:NONE. End of simulation.
19
User(VHDL) Code Called Simulation Stop
20 22 leonardoar
In process testUart_wishbone_slave.vhd:stim_proc
21 21 leonardoar
 
22
INFO: Simulator is stopped.
23 23 leonardoar
ISim O.87xd (signature 0x8ddf5b5d)
24
WARNING: A WEBPACK license was found.
25
WARNING: Please use Xilinx License Configuration Manager to check out a full ISim license.
26
WARNING: ISim will run in Lite mode. Please refer to the ISim documentation for more information on the differences between the Lite and the Full version.
27
This is a Lite version of ISim.
28
# run 1000 ms
29
Simulator is doing circuit initialization process.
30
Finished circuit initialization process.
31
at 10 ns(1), Instance /testuart_wishbone_slave/uut/uUartControl/uDiv/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
32
at 10 ns(1), Instance /testuart_wishbone_slave/uut/uUartControl/uDiv/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
33
at 10 ns(1), Instance /testuart_wishbone_slave/uut/uUartCommunicationBlocks/uBaudGen/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
34
 
35
** Failure:NONE. End of simulation.
36
User(VHDL) Code Called Simulation Stop
37
In process testUart_wishbone_slave.vhd:stim_proc
38
 
39
INFO: Simulator is stopped.
40
ISim O.87xd (signature 0x8ddf5b5d)
41
WARNING: A WEBPACK license was found.
42
WARNING: Please use Xilinx License Configuration Manager to check out a full ISim license.
43
WARNING: ISim will run in Lite mode. Please refer to the ISim documentation for more information on the differences between the Lite and the Full version.
44
This is a Lite version of ISim.
45
# run 1000 ms
46
Simulator is doing circuit initialization process.
47
Finished circuit initialization process.
48
at 10 ns(1), Instance /testuart_wishbone_slave/uut/uUartControl/uDiv/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
49
at 10 ns(1), Instance /testuart_wishbone_slave/uut/uUartControl/uDiv/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
50
at 10 ns(1), Instance /testuart_wishbone_slave/uut/uUartCommunicationBlocks/uBaudGen/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
51
 
52
** Failure:NONE. End of simulation.
53
User(VHDL) Code Called Simulation Stop
54
In process testUart_wishbone_slave.vhd:stim_proc
55
 
56
INFO: Simulator is stopped.
57
ISim O.87xd (signature 0x8ddf5b5d)
58
WARNING: A WEBPACK license was found.
59
WARNING: Please use Xilinx License Configuration Manager to check out a full ISim license.
60
WARNING: ISim will run in Lite mode. Please refer to the ISim documentation for more information on the differences between the Lite and the Full version.
61
This is a Lite version of ISim.
62
# run 1000 ms
63
Simulator is doing circuit initialization process.
64
Finished circuit initialization process.
65
at 10 ns(1), Instance /testuart_wishbone_slave/uut/uUartControl/uDiv/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
66
at 10 ns(1), Instance /testuart_wishbone_slave/uut/uUartControl/uDiv/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
67
at 10 ns(1), Instance /testuart_wishbone_slave/uut/uUartCommunicationBlocks/uBaudGen/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
68
 
69
** Failure:NONE. End of simulation.
70
User(VHDL) Code Called Simulation Stop
71
In process testUart_wishbone_slave.vhd:stim_proc
72
 
73
INFO: Simulator is stopped.
74
ISim O.87xd (signature 0x8ddf5b5d)
75
WARNING: A WEBPACK license was found.
76
WARNING: Please use Xilinx License Configuration Manager to check out a full ISim license.
77
WARNING: ISim will run in Lite mode. Please refer to the ISim documentation for more information on the differences between the Lite and the Full version.
78
This is a Lite version of ISim.
79
# run 1000 ms
80
Simulator is doing circuit initialization process.
81
Finished circuit initialization process.
82
at 10 ns(1), Instance /testuart_wishbone_slave/uut/uUartControl/uDiv/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
83
at 10 ns(1), Instance /testuart_wishbone_slave/uut/uUartControl/uDiv/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
84
at 10 ns(1), Instance /testuart_wishbone_slave/uut/uUartCommunicationBlocks/uBaudGen/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
85
 
86
** Failure:NONE. End of simulation.
87
User(VHDL) Code Called Simulation Stop
88
In process testUart_wishbone_slave.vhd:stim_proc
89
 
90
INFO: Simulator is stopped.
91 24 leonardoar
# exit 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.