OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [serial_receiver.syr] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 leonardoar
Release 13.4 - xst O.87xd (nt64)
2
Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved.
3
--> Parameter TMPDIR set to xst/projnav.tmp
4
 
5
 
6
Total REAL time to Xst completion: 0.00 secs
7
Total CPU time to Xst completion: 0.05 secs
8
 
9
--> Parameter xsthdpdir set to xst
10
 
11
 
12
Total REAL time to Xst completion: 0.00 secs
13
Total CPU time to Xst completion: 0.05 secs
14
 
15
--> Reading design: serial_receiver.prj
16
 
17
TABLE OF CONTENTS
18
  1) Synthesis Options Summary
19
  2) HDL Compilation
20
  3) Design Hierarchy Analysis
21
  4) HDL Analysis
22
  5) HDL Synthesis
23
     5.1) HDL Synthesis Report
24
  6) Advanced HDL Synthesis
25
     6.1) Advanced HDL Synthesis Report
26
  7) Low Level Synthesis
27
  8) Partition Report
28
  9) Final Report
29
        9.1) Device utilization summary
30
        9.2) Partition Resource Summary
31
        9.3) TIMING REPORT
32
 
33
 
34
=========================================================================
35
*                      Synthesis Options Summary                        *
36
=========================================================================
37
---- Source Parameters
38
Input File Name                    : "serial_receiver.prj"
39
Input Format                       : mixed
40
Ignore Synthesis Constraint File   : NO
41
 
42
---- Target Parameters
43
Output File Name                   : "serial_receiver"
44
Output Format                      : NGC
45
Target Device                      : xc3s500e-4-fg320
46
 
47
---- Source Options
48
Top Module Name                    : serial_receiver
49
Automatic FSM Extraction           : YES
50
FSM Encoding Algorithm             : Auto
51
Safe Implementation                : No
52
FSM Style                          : LUT
53
RAM Extraction                     : Yes
54
RAM Style                          : Auto
55
ROM Extraction                     : Yes
56
Mux Style                          : Auto
57
Decoder Extraction                 : YES
58
Priority Encoder Extraction        : Yes
59
Shift Register Extraction          : YES
60
Logical Shifter Extraction         : YES
61
XOR Collapsing                     : YES
62
ROM Style                          : Auto
63
Mux Extraction                     : Yes
64
Resource Sharing                   : YES
65
Asynchronous To Synchronous        : NO
66
Multiplier Style                   : Auto
67
Automatic Register Balancing       : No
68
 
69
---- Target Options
70
Add IO Buffers                     : YES
71
Global Maximum Fanout              : 100000
72
Add Generic Clock Buffer(BUFG)     : 24
73
Register Duplication               : YES
74
Slice Packing                      : YES
75
Optimize Instantiated Primitives   : NO
76
Use Clock Enable                   : Yes
77
Use Synchronous Set                : Yes
78
Use Synchronous Reset              : Yes
79
Pack IO Registers into IOBs        : Auto
80
Equivalent register Removal        : YES
81
 
82
---- General Options
83
Optimization Goal                  : Speed
84
Optimization Effort                : 1
85
Keep Hierarchy                     : No
86
Netlist Hierarchy                  : As_Optimized
87
RTL Output                         : Yes
88
Global Optimization                : AllClockNets
89
Read Cores                         : YES
90
Write Timing Constraints           : NO
91
Cross Clock Analysis               : NO
92
Hierarchy Separator                : /
93
Bus Delimiter                      : <>
94
Case Specifier                     : Maintain
95
Slice Utilization Ratio            : 100
96
BRAM Utilization Ratio             : 100
97
Verilog 2001                       : YES
98
Auto BRAM Packing                  : NO
99
Slice Utilization Ratio Delta      : 5
100
 
101
=========================================================================
102
 
103
 
104
=========================================================================
105
*                          HDL Compilation                              *
106
=========================================================================
107
Compiling vhdl file "E:/uart_block/hdl/iseProject/pkgDefinitions.vhd" in Library work.
108
Architecture pkgdefinitions of Entity pkgdefinitions is up to date.
109
Compiling vhdl file "E:/uart_block/hdl/iseProject/serial_receiver.vhd" in Library work.
110
Entity  compiled.
111
Entity  (Architecture ) compiled.
112
 
113
=========================================================================
114
*                     Design Hierarchy Analysis                         *
115
=========================================================================
116
Analyzing hierarchy for entity  in library  (architecture ).
117
 
118
 
119
=========================================================================
120
*                            HDL Analysis                               *
121
=========================================================================
122
Analyzing Entity  in library  (Architecture ).
123
WARNING:Xst:819 - "E:/uart_block/hdl/iseProject/serial_receiver.vhd" line 76: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
124
   
125
Entity  analyzed. Unit  generated.
126
 
127
 
128
=========================================================================
129
*                           HDL Synthesis                               *
130
=========================================================================
131
 
132
Performing bidirectional port resolution...
133
 
134
Synthesizing Unit .
135
    Related source file is "E:/uart_block/hdl/iseProject/serial_receiver.vhd".
136
    Found finite state machine  for signal .
137
    -----------------------------------------------------------------------
138
    | States             | 10                                             |
139
    | Transitions        | 10                                             |
140
    | Inputs             | 0                                              |
141
    | Outputs            | 9                                              |
142
    | Clock              | baudClk                   (rising_edge)        |
143
    | Reset              | syncDetected              (negative)           |
144
    | Reset type         | asynchronous                                   |
145
    | Reset State        | rx_idle                                        |
146
    | Power Up State     | rx_idle                                        |
147
    | Encoding           | automatic                                      |
148
    | Implementation     | LUT                                            |
149
    -----------------------------------------------------------------------
150
    Found finite state machine  for signal .
151
    -----------------------------------------------------------------------
152
    | States             | 3                                              |
153
    | Transitions        | 5                                              |
154
    | Inputs             | 1                                              |
155
    | Outputs            | 3                                              |
156
    | Clock              | baudOverSampleClk         (rising_edge)        |
157
    | Reset              | rst                       (positive)           |
158
    | Reset type         | asynchronous                                   |
159
    | Reset State        | s0                                             |
160
    | Power Up State     | s0                                             |
161
    | Encoding           | automatic                                      |
162
    | Implementation     | LUT                                            |
163
    -----------------------------------------------------------------------
164
WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
165
WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
166
WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
167
WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
168
WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
169
WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
170
WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
171
WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
172
    Found 1-bit register for signal .
173
    Summary:
174
        inferred   2 Finite State Machine(s).
175
        inferred   1 D-type flip-flop(s).
176
Unit  synthesized.
177
 
178
 
179
=========================================================================
180
HDL Synthesis Report
181
 
182
Macro Statistics
183
# Registers                                            : 1
184
 1-bit register                                        : 1
185
# Latches                                              : 8
186
 1-bit latch                                           : 8
187
 
188
=========================================================================
189
 
190
=========================================================================
191
*                       Advanced HDL Synthesis                          *
192
=========================================================================
193
 
194
Analyzing FSM  for best encoding.
195
Optimizing FSM  on signal  with gray encoding.
196
-------------------
197
 State | Encoding
198
-------------------
199
 s0    | 00
200
 s1    | 01
201
 s2    | 11
202
-------------------
203
Analyzing FSM  for best encoding.
204
Optimizing FSM  on signal  with one-hot encoding.
205
-----------------------
206
 State   | Encoding
207
-----------------------
208
 rx_idle | 0000000001
209
 bit0    | 0000000010
210
 bit1    | 0000000100
211
 bit2    | 0000001000
212
 bit3    | 0000010000
213
 bit4    | 0000100000
214
 bit5    | 0001000000
215
 bit6    | 0010000000
216
 bit7    | 0100000000
217
 rx_stop | 1000000000
218
-----------------------
219
 
220
=========================================================================
221
Advanced HDL Synthesis Report
222
 
223
Macro Statistics
224
# FSMs                                                 : 2
225
# Registers                                            : 1
226
 Flip-Flops                                            : 1
227
# Latches                                              : 8
228
 1-bit latch                                           : 8
229
 
230
=========================================================================
231
 
232
=========================================================================
233
*                         Low Level Synthesis                           *
234
=========================================================================
235
 
236
Optimizing unit  ...
237
 
238
Mapping all equations...
239
Building and optimizing final netlist ...
240
Found area constraint ratio of 100 (+ 5) on block serial_receiver, actual ratio is 0.
241
 
242
Final Macro Processing ...
243
 
244
=========================================================================
245
Final Register Report
246
 
247
Macro Statistics
248
# Registers                                            : 13
249
 Flip-Flops                                            : 13
250
 
251
=========================================================================
252
 
253
=========================================================================
254
*                           Partition Report                            *
255
=========================================================================
256
 
257
Partition Implementation Status
258
-------------------------------
259
 
260
  No Partitions were found in this design.
261
 
262
-------------------------------
263
 
264
=========================================================================
265
*                            Final Report                               *
266
=========================================================================
267
Final Results
268
RTL Top Level Output File Name     : serial_receiver.ngr
269
Top Level Output File Name         : serial_receiver
270
Output Format                      : NGC
271
Optimization Goal                  : Speed
272
Keep Hierarchy                     : No
273
 
274
Design Statistics
275
# IOs                              : 13
276
 
277
Cell Usage :
278
# BELS                             : 4
279
#      INV                         : 1
280
#      LUT2                        : 2
281
#      LUT3                        : 1
282
# FlipFlops/Latches                : 21
283
#      FDC                         : 12
284
#      FDP                         : 1
285
#      LD                          : 8
286
# Clock Buffers                    : 2
287
#      BUFGP                       : 2
288
# IO Buffers                       : 11
289
#      IBUF                        : 2
290
#      OBUF                        : 9
291
=========================================================================
292
 
293
Device utilization summary:
294
---------------------------
295
 
296
Selected Device : 3s500efg320-4
297
 
298
 Number of Slices:                        7  out of   4656     0%
299
 Number of Slice Flip Flops:             13  out of   9312     0%
300
 Number of 4 input LUTs:                  4  out of   9312     0%
301
 Number of IOs:                          13
302
 Number of bonded IOBs:                  13  out of    232     5%
303
    IOB Flip Flops:                       8
304
 Number of GCLKs:                         2  out of     24     8%
305
 
306
---------------------------
307
Partition Resource Summary:
308
---------------------------
309
 
310
  No Partitions were found in this design.
311
 
312
---------------------------
313
 
314
 
315
=========================================================================
316
TIMING REPORT
317
 
318
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
319
      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
320
      GENERATED AFTER PLACE-and-ROUTE.
321
 
322
Clock Information:
323
------------------
324
-----------------------------------+------------------------+-------+
325
Clock Signal                       | Clock buffer(FF name)  | Load  |
326
-----------------------------------+------------------------+-------+
327
baudOverSampleClk                  | BUFGP                  | 3     |
328
current_s_FSM_FFd2                 | NONE(data_byte_7)      | 1     |
329
current_s_FSM_FFd3                 | NONE(data_byte_6)      | 1     |
330
current_s_FSM_FFd4                 | NONE(data_byte_5)      | 1     |
331
current_s_FSM_FFd5                 | NONE(data_byte_4)      | 1     |
332
current_s_FSM_FFd6                 | NONE(data_byte_3)      | 1     |
333
current_s_FSM_FFd7                 | NONE(data_byte_2)      | 1     |
334
current_s_FSM_FFd8                 | NONE(data_byte_1)      | 1     |
335
current_s_FSM_FFd9                 | NONE(data_byte_0)      | 1     |
336
baudClk                            | BUFGP                  | 10    |
337
-----------------------------------+------------------------+-------+
338
INFO:Xst:2169 - HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.
339
 
340
Asynchronous Control Signals Information:
341
----------------------------------------
342
---------------------------------------------------------------+-------------------------+-------+
343
Control Signal                                                 | Buffer(FF name)         | Load  |
344
---------------------------------------------------------------+-------------------------+-------+
345
current_s_FSM_Acst_FSM_inv(current_s_FSM_Acst_FSM_inv1_INV_0:O)| NONE(current_s_FSM_FFd1)| 10    |
346
rst                                                            | IBUF                    | 3     |
347
---------------------------------------------------------------+-------------------------+-------+
348
 
349
Timing Summary:
350
---------------
351
Speed Grade: -4
352
 
353
   Minimum period: 2.213ns (Maximum Frequency: 451.875MHz)
354
   Minimum input arrival time before clock: 3.338ns
355
   Maximum output required time after clock: 4.368ns
356
   Maximum combinational path delay: No path found
357
 
358
Timing Detail:
359
--------------
360
All values displayed in nanoseconds (ns)
361
 
362
=========================================================================
363
Timing constraint: Default period analysis for Clock 'baudOverSampleClk'
364
  Clock period: 2.213ns (frequency: 451.875MHz)
365
  Total number of paths / destination ports: 4 / 3
366
-------------------------------------------------------------------------
367
Delay:               2.213ns (Levels of Logic = 1)
368
  Source:            filterRx_FSM_FFd1 (FF)
369
  Destination:       syncDetected (FF)
370
  Source Clock:      baudOverSampleClk rising
371
  Destination Clock: baudOverSampleClk rising
372
 
373
  Data Path: filterRx_FSM_FFd1 to syncDetected
374
                                Gate     Net
375
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
376
    ----------------------------------------  ------------
377
     FDC:C->Q              3   0.591   0.610  filterRx_FSM_FFd1 (filterRx_FSM_FFd1)
378
     LUT2:I1->O            1   0.704   0.000  filterRx_FSM_FFd2-In1 (filterRx_FSM_FFd2-In)
379
     FDC:D                     0.308          filterRx_FSM_FFd2
380
    ----------------------------------------
381
    Total                      2.213ns (1.603ns logic, 0.610ns route)
382
                                       (72.4% logic, 27.6% route)
383
 
384
=========================================================================
385
Timing constraint: Default period analysis for Clock 'baudClk'
386
  Clock period: 1.346ns (frequency: 742.942MHz)
387
  Total number of paths / destination ports: 10 / 10
388
-------------------------------------------------------------------------
389
Delay:               1.346ns (Levels of Logic = 0)
390
  Source:            current_s_FSM_FFd1 (FF)
391
  Destination:       current_s_FSM_FFd10 (FF)
392
  Source Clock:      baudClk rising
393
  Destination Clock: baudClk rising
394
 
395
  Data Path: current_s_FSM_FFd1 to current_s_FSM_FFd10
396
                                Gate     Net
397
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
398
    ----------------------------------------  ------------
399
     FDC:C->Q              2   0.591   0.447  current_s_FSM_FFd1 (current_s_FSM_FFd1)
400
     FDP:D                     0.308          current_s_FSM_FFd10
401
    ----------------------------------------
402
    Total                      1.346ns (0.899ns logic, 0.447ns route)
403
                                       (66.8% logic, 33.2% route)
404
 
405
=========================================================================
406
Timing constraint: Default OFFSET IN BEFORE for Clock 'baudOverSampleClk'
407
  Total number of paths / destination ports: 3 / 3
408
-------------------------------------------------------------------------
409
Offset:              3.338ns (Levels of Logic = 2)
410
  Source:            serial_in (PAD)
411
  Destination:       syncDetected (FF)
412
  Destination Clock: baudOverSampleClk rising
413
 
414
  Data Path: serial_in to syncDetected
415
                                Gate     Net
416
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
417
    ----------------------------------------  ------------
418
     IBUF:I->O            11   1.218   1.108  serial_in_IBUF (serial_in_IBUF)
419
     LUT2:I0->O            1   0.704   0.000  filterRx_FSM_FFd2-In1 (filterRx_FSM_FFd2-In)
420
     FDC:D                     0.308          filterRx_FSM_FFd2
421
    ----------------------------------------
422
    Total                      3.338ns (2.230ns logic, 1.108ns route)
423
                                       (66.8% logic, 33.2% route)
424
 
425
=========================================================================
426
Timing constraint: Default OFFSET IN BEFORE for Clock 'current_s_FSM_FFd2'
427
  Total number of paths / destination ports: 1 / 1
428
-------------------------------------------------------------------------
429
Offset:              2.459ns (Levels of Logic = 1)
430
  Source:            serial_in (PAD)
431
  Destination:       data_byte_7 (LATCH)
432
  Destination Clock: current_s_FSM_FFd2 falling
433
 
434
  Data Path: serial_in to data_byte_7
435
                                Gate     Net
436
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
437
    ----------------------------------------  ------------
438
     IBUF:I->O            11   1.218   0.933  serial_in_IBUF (serial_in_IBUF)
439
     LD:D                      0.308          data_byte_7
440
    ----------------------------------------
441
    Total                      2.459ns (1.526ns logic, 0.933ns route)
442
                                       (62.1% logic, 37.9% route)
443
 
444
=========================================================================
445
Timing constraint: Default OFFSET IN BEFORE for Clock 'current_s_FSM_FFd3'
446
  Total number of paths / destination ports: 1 / 1
447
-------------------------------------------------------------------------
448
Offset:              2.459ns (Levels of Logic = 1)
449
  Source:            serial_in (PAD)
450
  Destination:       data_byte_6 (LATCH)
451
  Destination Clock: current_s_FSM_FFd3 falling
452
 
453
  Data Path: serial_in to data_byte_6
454
                                Gate     Net
455
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
456
    ----------------------------------------  ------------
457
     IBUF:I->O            11   1.218   0.933  serial_in_IBUF (serial_in_IBUF)
458
     LD:D                      0.308          data_byte_6
459
    ----------------------------------------
460
    Total                      2.459ns (1.526ns logic, 0.933ns route)
461
                                       (62.1% logic, 37.9% route)
462
 
463
=========================================================================
464
Timing constraint: Default OFFSET IN BEFORE for Clock 'current_s_FSM_FFd4'
465
  Total number of paths / destination ports: 1 / 1
466
-------------------------------------------------------------------------
467
Offset:              2.459ns (Levels of Logic = 1)
468
  Source:            serial_in (PAD)
469
  Destination:       data_byte_5 (LATCH)
470
  Destination Clock: current_s_FSM_FFd4 falling
471
 
472
  Data Path: serial_in to data_byte_5
473
                                Gate     Net
474
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
475
    ----------------------------------------  ------------
476
     IBUF:I->O            11   1.218   0.933  serial_in_IBUF (serial_in_IBUF)
477
     LD:D                      0.308          data_byte_5
478
    ----------------------------------------
479
    Total                      2.459ns (1.526ns logic, 0.933ns route)
480
                                       (62.1% logic, 37.9% route)
481
 
482
=========================================================================
483
Timing constraint: Default OFFSET IN BEFORE for Clock 'current_s_FSM_FFd5'
484
  Total number of paths / destination ports: 1 / 1
485
-------------------------------------------------------------------------
486
Offset:              2.459ns (Levels of Logic = 1)
487
  Source:            serial_in (PAD)
488
  Destination:       data_byte_4 (LATCH)
489
  Destination Clock: current_s_FSM_FFd5 falling
490
 
491
  Data Path: serial_in to data_byte_4
492
                                Gate     Net
493
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
494
    ----------------------------------------  ------------
495
     IBUF:I->O            11   1.218   0.933  serial_in_IBUF (serial_in_IBUF)
496
     LD:D                      0.308          data_byte_4
497
    ----------------------------------------
498
    Total                      2.459ns (1.526ns logic, 0.933ns route)
499
                                       (62.1% logic, 37.9% route)
500
 
501
=========================================================================
502
Timing constraint: Default OFFSET IN BEFORE for Clock 'current_s_FSM_FFd6'
503
  Total number of paths / destination ports: 1 / 1
504
-------------------------------------------------------------------------
505
Offset:              2.459ns (Levels of Logic = 1)
506
  Source:            serial_in (PAD)
507
  Destination:       data_byte_3 (LATCH)
508
  Destination Clock: current_s_FSM_FFd6 falling
509
 
510
  Data Path: serial_in to data_byte_3
511
                                Gate     Net
512
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
513
    ----------------------------------------  ------------
514
     IBUF:I->O            11   1.218   0.933  serial_in_IBUF (serial_in_IBUF)
515
     LD:D                      0.308          data_byte_3
516
    ----------------------------------------
517
    Total                      2.459ns (1.526ns logic, 0.933ns route)
518
                                       (62.1% logic, 37.9% route)
519
 
520
=========================================================================
521
Timing constraint: Default OFFSET IN BEFORE for Clock 'current_s_FSM_FFd7'
522
  Total number of paths / destination ports: 1 / 1
523
-------------------------------------------------------------------------
524
Offset:              2.459ns (Levels of Logic = 1)
525
  Source:            serial_in (PAD)
526
  Destination:       data_byte_2 (LATCH)
527
  Destination Clock: current_s_FSM_FFd7 falling
528
 
529
  Data Path: serial_in to data_byte_2
530
                                Gate     Net
531
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
532
    ----------------------------------------  ------------
533
     IBUF:I->O            11   1.218   0.933  serial_in_IBUF (serial_in_IBUF)
534
     LD:D                      0.308          data_byte_2
535
    ----------------------------------------
536
    Total                      2.459ns (1.526ns logic, 0.933ns route)
537
                                       (62.1% logic, 37.9% route)
538
 
539
=========================================================================
540
Timing constraint: Default OFFSET IN BEFORE for Clock 'current_s_FSM_FFd8'
541
  Total number of paths / destination ports: 1 / 1
542
-------------------------------------------------------------------------
543
Offset:              2.459ns (Levels of Logic = 1)
544
  Source:            serial_in (PAD)
545
  Destination:       data_byte_1 (LATCH)
546
  Destination Clock: current_s_FSM_FFd8 falling
547
 
548
  Data Path: serial_in to data_byte_1
549
                                Gate     Net
550
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
551
    ----------------------------------------  ------------
552
     IBUF:I->O            11   1.218   0.933  serial_in_IBUF (serial_in_IBUF)
553
     LD:D                      0.308          data_byte_1
554
    ----------------------------------------
555
    Total                      2.459ns (1.526ns logic, 0.933ns route)
556
                                       (62.1% logic, 37.9% route)
557
 
558
=========================================================================
559
Timing constraint: Default OFFSET IN BEFORE for Clock 'current_s_FSM_FFd9'
560
  Total number of paths / destination ports: 1 / 1
561
-------------------------------------------------------------------------
562
Offset:              2.459ns (Levels of Logic = 1)
563
  Source:            serial_in (PAD)
564
  Destination:       data_byte_0 (LATCH)
565
  Destination Clock: current_s_FSM_FFd9 falling
566
 
567
  Data Path: serial_in to data_byte_0
568
                                Gate     Net
569
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
570
    ----------------------------------------  ------------
571
     IBUF:I->O            11   1.218   0.933  serial_in_IBUF (serial_in_IBUF)
572
     LD:D                      0.308          data_byte_0
573
    ----------------------------------------
574
    Total                      2.459ns (1.526ns logic, 0.933ns route)
575
                                       (62.1% logic, 37.9% route)
576
 
577
=========================================================================
578
Timing constraint: Default OFFSET OUT AFTER for Clock 'baudClk'
579
  Total number of paths / destination ports: 1 / 1
580
-------------------------------------------------------------------------
581
Offset:              4.310ns (Levels of Logic = 1)
582
  Source:            current_s_FSM_FFd1 (FF)
583
  Destination:       data_ready (PAD)
584
  Source Clock:      baudClk rising
585
 
586
  Data Path: current_s_FSM_FFd1 to data_ready
587
                                Gate     Net
588
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
589
    ----------------------------------------  ------------
590
     FDC:C->Q              2   0.591   0.447  current_s_FSM_FFd1 (current_s_FSM_FFd1)
591
     OBUF:I->O                 3.272          data_ready_OBUF (data_ready)
592
    ----------------------------------------
593
    Total                      4.310ns (3.863ns logic, 0.447ns route)
594
                                       (89.6% logic, 10.4% route)
595
 
596
=========================================================================
597
Timing constraint: Default OFFSET OUT AFTER for Clock 'current_s_FSM_FFd2'
598
  Total number of paths / destination ports: 1 / 1
599
-------------------------------------------------------------------------
600
Offset:              4.368ns (Levels of Logic = 1)
601
  Source:            data_byte_7 (LATCH)
602
  Destination:       data_byte<7> (PAD)
603
  Source Clock:      current_s_FSM_FFd2 falling
604
 
605
  Data Path: data_byte_7 to data_byte<7>
606
                                Gate     Net
607
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
608
    ----------------------------------------  ------------
609
     LD:G->Q               1   0.676   0.420  data_byte_7 (data_byte_7)
610
     OBUF:I->O                 3.272          data_byte_7_OBUF (data_byte<7>)
611
    ----------------------------------------
612
    Total                      4.368ns (3.948ns logic, 0.420ns route)
613
                                       (90.4% logic, 9.6% route)
614
 
615
=========================================================================
616
Timing constraint: Default OFFSET OUT AFTER for Clock 'current_s_FSM_FFd3'
617
  Total number of paths / destination ports: 1 / 1
618
-------------------------------------------------------------------------
619
Offset:              4.368ns (Levels of Logic = 1)
620
  Source:            data_byte_6 (LATCH)
621
  Destination:       data_byte<6> (PAD)
622
  Source Clock:      current_s_FSM_FFd3 falling
623
 
624
  Data Path: data_byte_6 to data_byte<6>
625
                                Gate     Net
626
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
627
    ----------------------------------------  ------------
628
     LD:G->Q               1   0.676   0.420  data_byte_6 (data_byte_6)
629
     OBUF:I->O                 3.272          data_byte_6_OBUF (data_byte<6>)
630
    ----------------------------------------
631
    Total                      4.368ns (3.948ns logic, 0.420ns route)
632
                                       (90.4% logic, 9.6% route)
633
 
634
=========================================================================
635
Timing constraint: Default OFFSET OUT AFTER for Clock 'current_s_FSM_FFd4'
636
  Total number of paths / destination ports: 1 / 1
637
-------------------------------------------------------------------------
638
Offset:              4.368ns (Levels of Logic = 1)
639
  Source:            data_byte_5 (LATCH)
640
  Destination:       data_byte<5> (PAD)
641
  Source Clock:      current_s_FSM_FFd4 falling
642
 
643
  Data Path: data_byte_5 to data_byte<5>
644
                                Gate     Net
645
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
646
    ----------------------------------------  ------------
647
     LD:G->Q               1   0.676   0.420  data_byte_5 (data_byte_5)
648
     OBUF:I->O                 3.272          data_byte_5_OBUF (data_byte<5>)
649
    ----------------------------------------
650
    Total                      4.368ns (3.948ns logic, 0.420ns route)
651
                                       (90.4% logic, 9.6% route)
652
 
653
=========================================================================
654
Timing constraint: Default OFFSET OUT AFTER for Clock 'current_s_FSM_FFd5'
655
  Total number of paths / destination ports: 1 / 1
656
-------------------------------------------------------------------------
657
Offset:              4.368ns (Levels of Logic = 1)
658
  Source:            data_byte_4 (LATCH)
659
  Destination:       data_byte<4> (PAD)
660
  Source Clock:      current_s_FSM_FFd5 falling
661
 
662
  Data Path: data_byte_4 to data_byte<4>
663
                                Gate     Net
664
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
665
    ----------------------------------------  ------------
666
     LD:G->Q               1   0.676   0.420  data_byte_4 (data_byte_4)
667
     OBUF:I->O                 3.272          data_byte_4_OBUF (data_byte<4>)
668
    ----------------------------------------
669
    Total                      4.368ns (3.948ns logic, 0.420ns route)
670
                                       (90.4% logic, 9.6% route)
671
 
672
=========================================================================
673
Timing constraint: Default OFFSET OUT AFTER for Clock 'current_s_FSM_FFd6'
674
  Total number of paths / destination ports: 1 / 1
675
-------------------------------------------------------------------------
676
Offset:              4.368ns (Levels of Logic = 1)
677
  Source:            data_byte_3 (LATCH)
678
  Destination:       data_byte<3> (PAD)
679
  Source Clock:      current_s_FSM_FFd6 falling
680
 
681
  Data Path: data_byte_3 to data_byte<3>
682
                                Gate     Net
683
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
684
    ----------------------------------------  ------------
685
     LD:G->Q               1   0.676   0.420  data_byte_3 (data_byte_3)
686
     OBUF:I->O                 3.272          data_byte_3_OBUF (data_byte<3>)
687
    ----------------------------------------
688
    Total                      4.368ns (3.948ns logic, 0.420ns route)
689
                                       (90.4% logic, 9.6% route)
690
 
691
=========================================================================
692
Timing constraint: Default OFFSET OUT AFTER for Clock 'current_s_FSM_FFd7'
693
  Total number of paths / destination ports: 1 / 1
694
-------------------------------------------------------------------------
695
Offset:              4.368ns (Levels of Logic = 1)
696
  Source:            data_byte_2 (LATCH)
697
  Destination:       data_byte<2> (PAD)
698
  Source Clock:      current_s_FSM_FFd7 falling
699
 
700
  Data Path: data_byte_2 to data_byte<2>
701
                                Gate     Net
702
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
703
    ----------------------------------------  ------------
704
     LD:G->Q               1   0.676   0.420  data_byte_2 (data_byte_2)
705
     OBUF:I->O                 3.272          data_byte_2_OBUF (data_byte<2>)
706
    ----------------------------------------
707
    Total                      4.368ns (3.948ns logic, 0.420ns route)
708
                                       (90.4% logic, 9.6% route)
709
 
710
=========================================================================
711
Timing constraint: Default OFFSET OUT AFTER for Clock 'current_s_FSM_FFd8'
712
  Total number of paths / destination ports: 1 / 1
713
-------------------------------------------------------------------------
714
Offset:              4.368ns (Levels of Logic = 1)
715
  Source:            data_byte_1 (LATCH)
716
  Destination:       data_byte<1> (PAD)
717
  Source Clock:      current_s_FSM_FFd8 falling
718
 
719
  Data Path: data_byte_1 to data_byte<1>
720
                                Gate     Net
721
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
722
    ----------------------------------------  ------------
723
     LD:G->Q               1   0.676   0.420  data_byte_1 (data_byte_1)
724
     OBUF:I->O                 3.272          data_byte_1_OBUF (data_byte<1>)
725
    ----------------------------------------
726
    Total                      4.368ns (3.948ns logic, 0.420ns route)
727
                                       (90.4% logic, 9.6% route)
728
 
729
=========================================================================
730
Timing constraint: Default OFFSET OUT AFTER for Clock 'current_s_FSM_FFd9'
731
  Total number of paths / destination ports: 1 / 1
732
-------------------------------------------------------------------------
733
Offset:              4.368ns (Levels of Logic = 1)
734
  Source:            data_byte_0 (LATCH)
735
  Destination:       data_byte<0> (PAD)
736
  Source Clock:      current_s_FSM_FFd9 falling
737
 
738
  Data Path: data_byte_0 to data_byte<0>
739
                                Gate     Net
740
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
741
    ----------------------------------------  ------------
742
     LD:G->Q               1   0.676   0.420  data_byte_0 (data_byte_0)
743
     OBUF:I->O                 3.272          data_byte_0_OBUF (data_byte<0>)
744
    ----------------------------------------
745
    Total                      4.368ns (3.948ns logic, 0.420ns route)
746
                                       (90.4% logic, 9.6% route)
747
 
748
=========================================================================
749
 
750
 
751
Total REAL time to Xst completion: 3.00 secs
752
Total CPU time to Xst completion: 3.17 secs
753
 
754
-->
755
 
756
Total memory usage is 257012 kilobytes
757
 
758
Number of errors   :    0 (   0 filtered)
759
Number of warnings :    9 (   0 filtered)
760
Number of infos    :    1 (   0 filtered)
761
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.