OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [serial_transmitter.syr] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 leonardoar
Release 13.4 - xst O.87xd (nt64)
2
Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved.
3
--> Parameter TMPDIR set to xst/projnav.tmp
4
 
5
 
6
Total REAL time to Xst completion: 0.00 secs
7
Total CPU time to Xst completion: 0.22 secs
8
 
9
--> Parameter xsthdpdir set to xst
10
 
11
 
12
Total REAL time to Xst completion: 0.00 secs
13
Total CPU time to Xst completion: 0.22 secs
14
 
15
--> Reading design: serial_transmitter.prj
16
 
17
TABLE OF CONTENTS
18
  1) Synthesis Options Summary
19
  2) HDL Compilation
20
  3) Design Hierarchy Analysis
21
  4) HDL Analysis
22
  5) HDL Synthesis
23
     5.1) HDL Synthesis Report
24
  6) Advanced HDL Synthesis
25
     6.1) Advanced HDL Synthesis Report
26
  7) Low Level Synthesis
27
  8) Partition Report
28
  9) Final Report
29
        9.1) Device utilization summary
30
        9.2) Partition Resource Summary
31
        9.3) TIMING REPORT
32
 
33
 
34
=========================================================================
35
*                      Synthesis Options Summary                        *
36
=========================================================================
37
---- Source Parameters
38
Input File Name                    : "serial_transmitter.prj"
39
Input Format                       : mixed
40
Ignore Synthesis Constraint File   : NO
41
 
42
---- Target Parameters
43
Output File Name                   : "serial_transmitter"
44
Output Format                      : NGC
45
Target Device                      : xc3s500e-4-fg320
46
 
47
---- Source Options
48
Top Module Name                    : serial_transmitter
49
Automatic FSM Extraction           : YES
50
FSM Encoding Algorithm             : Auto
51
Safe Implementation                : No
52
FSM Style                          : LUT
53
RAM Extraction                     : Yes
54
RAM Style                          : Auto
55
ROM Extraction                     : Yes
56
Mux Style                          : Auto
57
Decoder Extraction                 : YES
58
Priority Encoder Extraction        : Yes
59
Shift Register Extraction          : YES
60
Logical Shifter Extraction         : YES
61
XOR Collapsing                     : YES
62
ROM Style                          : Auto
63
Mux Extraction                     : Yes
64
Resource Sharing                   : YES
65
Asynchronous To Synchronous        : NO
66
Multiplier Style                   : Auto
67
Automatic Register Balancing       : No
68
 
69
---- Target Options
70
Add IO Buffers                     : YES
71
Global Maximum Fanout              : 100000
72
Add Generic Clock Buffer(BUFG)     : 24
73
Register Duplication               : YES
74
Slice Packing                      : YES
75
Optimize Instantiated Primitives   : NO
76
Use Clock Enable                   : Yes
77
Use Synchronous Set                : Yes
78
Use Synchronous Reset              : Yes
79
Pack IO Registers into IOBs        : Auto
80
Equivalent register Removal        : YES
81
 
82
---- General Options
83
Optimization Goal                  : Speed
84
Optimization Effort                : 1
85
Keep Hierarchy                     : No
86
Netlist Hierarchy                  : As_Optimized
87
RTL Output                         : Yes
88
Global Optimization                : AllClockNets
89
Read Cores                         : YES
90
Write Timing Constraints           : NO
91
Cross Clock Analysis               : NO
92
Hierarchy Separator                : /
93
Bus Delimiter                      : <>
94
Case Specifier                     : Maintain
95
Slice Utilization Ratio            : 100
96
BRAM Utilization Ratio             : 100
97
Verilog 2001                       : YES
98
Auto BRAM Packing                  : NO
99
Slice Utilization Ratio Delta      : 5
100
 
101
=========================================================================
102
 
103
 
104
=========================================================================
105
*                          HDL Compilation                              *
106
=========================================================================
107
Compiling vhdl file "E:/uart_block/hdl/iseProject/pkgDefinitions.vhd" in Library work.
108
Package  compiled.
109
Package body  compiled.
110
Compiling vhdl file "E:/uart_block/hdl/iseProject/serial_transmitter.vhd" in Library work.
111
Architecture behavioral of Entity serial_transmitter is up to date.
112
 
113
=========================================================================
114
*                     Design Hierarchy Analysis                         *
115
=========================================================================
116
Analyzing hierarchy for entity  in library  (architecture ).
117
 
118
 
119
=========================================================================
120
*                            HDL Analysis                               *
121
=========================================================================
122
Analyzing Entity  in library  (Architecture ).
123
Entity  analyzed. Unit  generated.
124
 
125
 
126
=========================================================================
127
*                           HDL Synthesis                               *
128
=========================================================================
129
 
130
Performing bidirectional port resolution...
131
 
132
Synthesizing Unit .
133
    Related source file is "E:/uart_block/hdl/iseProject/serial_transmitter.vhd".
134
    Found finite state machine  for signal .
135
    -----------------------------------------------------------------------
136
    | States             | 12                                             |
137
    | Transitions        | 12                                             |
138
    | Inputs             | 0                                              |
139
    | Outputs            | 13                                             |
140
    | Clock              | baudClk                   (rising_edge)        |
141
    | Reset              | rst                       (positive)           |
142
    | Reset type         | asynchronous                                   |
143
    | Reset State        | tx_idle                                        |
144
    | Power Up State     | tx_idle                                        |
145
    | Encoding           | automatic                                      |
146
    | Implementation     | LUT                                            |
147
    -----------------------------------------------------------------------
148
    Summary:
149
        inferred   1 Finite State Machine(s).
150
Unit  synthesized.
151
 
152
 
153
=========================================================================
154
HDL Synthesis Report
155
 
156
Found no macro
157
=========================================================================
158
 
159
=========================================================================
160
*                       Advanced HDL Synthesis                          *
161
=========================================================================
162
 
163
Analyzing FSM  for best encoding.
164
Optimizing FSM  on signal  with one-hot encoding.
165
--------------------------
166
 State    | Encoding
167
--------------------------
168
 tx_idle  | 000000000001
169
 tx_start | 000000000010
170
 bit0     | 000000000100
171
 bit1     | 000000001000
172
 bit2     | 000000010000
173
 bit3     | 000000100000
174
 bit4     | 000001000000
175
 bit5     | 000010000000
176
 bit6     | 000100000000
177
 bit7     | 001000000000
178
 tx_stop1 | 010000000000
179
 tx_stop2 | 100000000000
180
--------------------------
181
 
182
=========================================================================
183
Advanced HDL Synthesis Report
184
 
185
Macro Statistics
186
# FSMs                                                 : 1
187
 
188
=========================================================================
189
 
190
=========================================================================
191
*                         Low Level Synthesis                           *
192
=========================================================================
193
 
194
Optimizing unit  ...
195
 
196
Mapping all equations...
197
Building and optimizing final netlist ...
198
Found area constraint ratio of 100 (+ 5) on block serial_transmitter, actual ratio is 0.
199
 
200
Final Macro Processing ...
201
 
202
=========================================================================
203
Final Register Report
204
 
205
Macro Statistics
206
# Registers                                            : 12
207
 Flip-Flops                                            : 12
208
 
209
=========================================================================
210
 
211
=========================================================================
212
*                           Partition Report                            *
213
=========================================================================
214
 
215
Partition Implementation Status
216
-------------------------------
217
 
218
  No Partitions were found in this design.
219
 
220
-------------------------------
221
 
222
=========================================================================
223
*                            Final Report                               *
224
=========================================================================
225
Final Results
226
RTL Top Level Output File Name     : serial_transmitter.ngr
227
Top Level Output File Name         : serial_transmitter
228
Output Format                      : NGC
229
Optimization Goal                  : Speed
230
Keep Hierarchy                     : No
231
 
232
Design Statistics
233
# IOs                              : 12
234
 
235
Cell Usage :
236
# BELS                             : 9
237
#      GND                         : 1
238
#      LUT2                        : 1
239
#      LUT4                        : 6
240
#      VCC                         : 1
241
# FlipFlops/Latches                : 12
242
#      FDC                         : 10
243
#      FDCE                        : 1
244
#      FDP                         : 1
245
# Clock Buffers                    : 1
246
#      BUFGP                       : 1
247
# IO Buffers                       : 11
248
#      IBUF                        : 9
249
#      OBUF                        : 2
250
=========================================================================
251
 
252
Device utilization summary:
253
---------------------------
254
 
255
Selected Device : 3s500efg320-4
256
 
257
 Number of Slices:                        7  out of   4656     0%
258
 Number of Slice Flip Flops:             12  out of   9312     0%
259
 Number of 4 input LUTs:                  7  out of   9312     0%
260
 Number of IOs:                          12
261
 Number of bonded IOBs:                  12  out of    232     5%
262
 Number of GCLKs:                         1  out of     24     4%
263
 
264
---------------------------
265
Partition Resource Summary:
266
---------------------------
267
 
268
  No Partitions were found in this design.
269
 
270
---------------------------
271
 
272
 
273
=========================================================================
274
TIMING REPORT
275
 
276
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
277
      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
278
      GENERATED AFTER PLACE-and-ROUTE.
279
 
280
Clock Information:
281
------------------
282
-----------------------------------+------------------------+-------+
283
Clock Signal                       | Clock buffer(FF name)  | Load  |
284
-----------------------------------+------------------------+-------+
285
baudClk                            | BUFGP                  | 12    |
286
-----------------------------------+------------------------+-------+
287
 
288
Asynchronous Control Signals Information:
289
----------------------------------------
290
-----------------------------------+------------------------+-------+
291
Control Signal                     | Buffer(FF name)        | Load  |
292
-----------------------------------+------------------------+-------+
293
rst                                | IBUF                   | 12    |
294
-----------------------------------+------------------------+-------+
295
 
296
Timing Summary:
297
---------------
298
Speed Grade: -4
299
 
300
   Minimum period: 1.677ns (Maximum Frequency: 596.303MHz)
301
   Minimum input arrival time before clock: No path found
302
   Maximum output required time after clock: 8.036ns
303
   Maximum combinational path delay: 8.540ns
304
 
305
Timing Detail:
306
--------------
307
All values displayed in nanoseconds (ns)
308
 
309
=========================================================================
310
Timing constraint: Default period analysis for Clock 'baudClk'
311
  Clock period: 1.677ns (frequency: 596.303MHz)
312
  Total number of paths / destination ports: 11 / 11
313
-------------------------------------------------------------------------
314
Delay:               1.677ns (Levels of Logic = 0)
315
  Source:            current_s_FSM_FFd2 (FF)
316
  Destination:       current_s_FSM_FFd1 (FF)
317
  Source Clock:      baudClk rising
318
  Destination Clock: baudClk rising
319
 
320
  Data Path: current_s_FSM_FFd2 to current_s_FSM_FFd1
321
                                Gate     Net
322
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
323
    ----------------------------------------  ------------
324
     FDC:C->Q              3   0.591   0.531  current_s_FSM_FFd2 (current_s_FSM_FFd2)
325
     FDCE:CE                   0.555          current_s_FSM_FFd1
326
    ----------------------------------------
327
    Total                      1.677ns (1.146ns logic, 0.531ns route)
328
                                       (68.3% logic, 31.7% route)
329
 
330
=========================================================================
331
Timing constraint: Default OFFSET OUT AFTER for Clock 'baudClk'
332
  Total number of paths / destination ports: 13 / 2
333
-------------------------------------------------------------------------
334
Offset:              8.036ns (Levels of Logic = 4)
335
  Source:            current_s_FSM_FFd7 (FF)
336
  Destination:       serial_out (PAD)
337
  Source Clock:      baudClk rising
338
 
339
  Data Path: current_s_FSM_FFd7 to serial_out
340
                                Gate     Net
341
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
342
    ----------------------------------------  ------------
343
     FDC:C->Q              2   0.591   0.622  current_s_FSM_FFd7 (current_s_FSM_FFd7)
344
     LUT4:I0->O            1   0.704   0.595  serial_out12 (serial_out12)
345
     LUT4:I0->O            1   0.704   0.424  serial_out48_SW0 (N01)
346
     LUT4:I3->O            1   0.704   0.420  serial_out48 (serial_out_OBUF)
347
     OBUF:I->O                 3.272          serial_out_OBUF (serial_out)
348
    ----------------------------------------
349
    Total                      8.036ns (5.975ns logic, 2.061ns route)
350
                                       (74.4% logic, 25.6% route)
351
 
352
=========================================================================
353
Timing constraint: Default path analysis
354
  Total number of paths / destination ports: 8 / 1
355
-------------------------------------------------------------------------
356
Delay:               8.540ns (Levels of Logic = 5)
357
  Source:            data_byte<3> (PAD)
358
  Destination:       serial_out (PAD)
359
 
360
  Data Path: data_byte<3> to serial_out
361
                                Gate     Net
362
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
363
    ----------------------------------------  ------------
364
     IBUF:I->O             1   1.218   0.499  data_byte_3_IBUF (data_byte_3_IBUF)
365
     LUT4:I1->O            1   0.704   0.595  serial_out12 (serial_out12)
366
     LUT4:I0->O            1   0.704   0.424  serial_out48_SW0 (N01)
367
     LUT4:I3->O            1   0.704   0.420  serial_out48 (serial_out_OBUF)
368
     OBUF:I->O                 3.272          serial_out_OBUF (serial_out)
369
    ----------------------------------------
370
    Total                      8.540ns (6.602ns logic, 1.938ns route)
371
                                       (77.3% logic, 22.7% route)
372
 
373
=========================================================================
374
 
375
 
376
Total REAL time to Xst completion: 5.00 secs
377
Total CPU time to Xst completion: 5.00 secs
378
 
379
-->
380
 
381
Total memory usage is 255476 kilobytes
382
 
383
Number of errors   :    0 (   0 filtered)
384
Number of warnings :    0 (   0 filtered)
385
Number of infos    :    0 (   0 filtered)
386
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.