OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [testBaud_generator.vhd] - Blame information for rev 36

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 leonardoar
--! Test baud_generator module
2
library ieee;
3
use ieee.std_logic_1164.all;
4
use ieee.std_logic_unsigned.all;
5
use ieee.std_logic_arith.all;
6
 
7
--! Use Global Definitions package
8
use work.pkgDefinitions.all;
9
 
10
 ENTITY testBaud_generator IS
11
END testBaud_generator;
12
 
13
ARCHITECTURE behavior OF testBaud_generator IS
14 11 leonardoar
 
15 6 leonardoar
    COMPONENT baud_generator
16
    PORT(
17
         rst : IN  std_logic;
18
         clk : IN  std_logic;
19
         cycle_wait : in  STD_LOGIC_VECTOR ((nBitsLarge-1) downto 0);
20 11 leonardoar
                        baud_oversample : out std_logic;
21 6 leonardoar
         baud : OUT  std_logic
22
        );
23
    END COMPONENT;
24
 
25
 
26
   --Inputs
27
   signal rst : std_logic := '0';
28
   signal clk : std_logic := '0';
29
   signal cycle_wait : std_logic_vector((nBitsLarge-1) downto 0) := (others => '0');
30
 
31
        --Outputs
32
   signal baud : std_logic;
33 11 leonardoar
        signal baud_oversample : std_logic;
34 6 leonardoar
 
35 8 leonardoar
   -- Clock period definitions (1.8432MHz)
36 9 leonardoar
   constant clk_period : time := 0.543 us; -- 0.543us (1.8432Mhz) 2ns (50Mhz)
37 6 leonardoar
 
38
BEGIN
39
 
40 36 leonardoar
        --! Instantiate the Unit Under Test (UUT)
41 6 leonardoar
   uut: baud_generator PORT MAP (
42
          rst => rst,
43
          clk => clk,
44
          cycle_wait => cycle_wait,
45 11 leonardoar
                         baud_oversample => baud_oversample,
46 6 leonardoar
          baud => baud
47
        );
48
 
49
   -- Clock process definitions
50
   clk_process :process
51
   begin
52
                clk <= '0';
53
                wait for clk_period/2;
54
                clk <= '1';
55
                wait for clk_period/2;
56
   end process;
57
 
58
 
59
   -- Stimulus process
60
   stim_proc: process
61
   begin
62 9 leonardoar
      -- Test the baud generator waiting for 16 clock cycles for 1.8432MHz clock
63 6 leonardoar
                rst <= '1';
64 8 leonardoar
                cycle_wait <= conv_std_logic_vector(16, (nBitsLarge));
65 9 leonardoar
      wait for 2 ns;
66 6 leonardoar
                rst <= '0';
67
 
68 9 leonardoar
      wait for clk_period*300;
69 6 leonardoar
 
70
      -- Stop Simulation
71
                assert false report "NONE. End of simulation." severity failure;
72
 
73
      wait;
74
   end process;
75
 
76
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.