OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [testBaud_generator.vhd] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 leonardoar
--! Test baud_generator module
2
library ieee;
3
use ieee.std_logic_1164.all;
4
use ieee.std_logic_unsigned.all;
5
use ieee.std_logic_arith.all;
6
 
7
--! Use Global Definitions package
8
use work.pkgDefinitions.all;
9
 
10
 ENTITY testBaud_generator IS
11
END testBaud_generator;
12
 
13
ARCHITECTURE behavior OF testBaud_generator IS
14
 
15
 
16
 
17
    COMPONENT baud_generator
18
    PORT(
19
         rst : IN  std_logic;
20
         clk : IN  std_logic;
21
         cycle_wait : in  STD_LOGIC_VECTOR ((nBitsLarge-1) downto 0);
22
         baud : OUT  std_logic
23
        );
24
    END COMPONENT;
25
 
26
 
27
   --Inputs
28
   signal rst : std_logic := '0';
29
   signal clk : std_logic := '0';
30
   signal cycle_wait : std_logic_vector((nBitsLarge-1) downto 0) := (others => '0');
31
 
32
        --Outputs
33
   signal baud : std_logic;
34
 
35 8 leonardoar
   -- Clock period definitions (1.8432MHz)
36 9 leonardoar
   constant clk_period : time := 0.543 us; -- 0.543us (1.8432Mhz) 2ns (50Mhz)
37 6 leonardoar
 
38
BEGIN
39
 
40
        -- Instantiate the Unit Under Test (UUT)
41
   uut: baud_generator PORT MAP (
42
          rst => rst,
43
          clk => clk,
44
          cycle_wait => cycle_wait,
45
          baud => baud
46
        );
47
 
48
   -- Clock process definitions
49
   clk_process :process
50
   begin
51
                clk <= '0';
52
                wait for clk_period/2;
53
                clk <= '1';
54
                wait for clk_period/2;
55
   end process;
56
 
57
 
58
   -- Stimulus process
59
   stim_proc: process
60
   begin
61 9 leonardoar
      -- Test the baud generator waiting for 16 clock cycles for 1.8432MHz clock
62 6 leonardoar
                rst <= '1';
63 8 leonardoar
                cycle_wait <= conv_std_logic_vector(16, (nBitsLarge));
64 9 leonardoar
      wait for 2 ns;
65 6 leonardoar
                rst <= '0';
66
 
67 9 leonardoar
      wait for clk_period*300;
68 6 leonardoar
 
69
      -- Stop Simulation
70
                assert false report "NONE. End of simulation." severity failure;
71
 
72
      wait;
73
   end process;
74
 
75
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.