OpenCores
URL https://opencores.org/ocsvn/uart_observer/uart_observer/trunk

Subversion Repositories uart_observer

[/] [uart_observer/] [trunk/] [xdc/] [KCU116.xdc] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 audriusa
# W12 RX Input LVCMOS18 USB_UART_TX 21 TXD Output
2
# W13 TX Output LVCMOS18 USB_UART_RX 20 RXD Input
3
# Y13 CTS Output LVCMOS18 USB_UART_CTS 18 CTS Input
4
# AA13 RTS Input LVCMOS18 USB_UART_RTS 19 RTS Output
5
 
6
#USB UART
7
set_property PACKAGE_PIN W13 [get_ports USB_UART_RX_FPGA_TX_LS]
8
set_property IOSTANDARD LVCMOS33 [get_ports USB_UART_RX_FPGA_TX_LS]
9
 
10
set_property PACKAGE_PIN W12 [get_ports USB_UART_TX_FPGA_RX_LS]
11
set_property IOSTANDARD LVCMOS33 [get_ports USB_UART_TX_FPGA_RX_LS]
12
 
13
set_property PACKAGE_PIN AA13 [get_ports USB_UART_RTS_O_B_LS]
14
set_property IOSTANDARD LVCMOS33 [get_ports USB_UART_RTS_O_B_LS]
15
 
16
set_property PACKAGE_PIN Y13 [get_ports USB_UART_CTS_I_B_LS]
17
set_property IOSTANDARD LVCMOS33 [get_ports USB_UART_CTS_I_B_LS]
18
 
19
#GPIO
20
 
21
#GPIO PB SWITCHES
22
set_property PACKAGE_PIN B11 [get_ports GPIO_SW_E]
23
set_property IOSTANDARD LVCMOS33 [get_ports GPIO_SW_E]
24
set_property PACKAGE_PIN A10 [get_ports GPIO_SW_N]
25
set_property IOSTANDARD LVCMOS33 [get_ports GPIO_SW_N]
26
set_property PACKAGE_PIN B10 [get_ports GPIO_SW_W]
27
set_property IOSTANDARD LVCMOS33 [get_ports GPIO_SW_W]
28
set_property PACKAGE_PIN A9 [get_ports GPIO_SW_C]
29
set_property IOSTANDARD LVCMOS33 [get_ports GPIO_SW_C]
30
set_property PACKAGE_PIN C11 [get_ports GPIO_SW_S]
31
set_property IOSTANDARD LVCMOS33 [get_ports GPIO_SW_S]
32
 
33
#GPIO DIP SWITCHES
34
set_property PACKAGE_PIN G11 [get_ports "GPIO_DIP_SW0"] ;
35
set_property IOSTANDARD LVCMOS33 [get_ports "GPIO_DIP_SW0"] ;
36
set_property PACKAGE_PIN H11 [get_ports "GPIO_DIP_SW1"] ;
37
set_property IOSTANDARD LVCMOS33 [get_ports "GPIO_DIP_SW1"] ;
38
set_property PACKAGE_PIN H9 [get_ports "GPIO_DIP_SW2"] ;
39
set_property IOSTANDARD LVCMOS33 [get_ports "GPIO_DIP_SW2"] ;
40
set_property PACKAGE_PIN J9 [get_ports "GPIO_DIP_SW3"] ;
41
set_property IOSTANDARD LVCMOS33 [get_ports "GPIO_DIP_SW3"] ;
42
 
43
# LEDs
44
#GPIO LEDs
45
set_property PACKAGE_PIN C9 [get_ports GPIO_LED_0]
46
set_property IOSTANDARD LVCMOS33 [get_ports GPIO_LED_0]
47
set_property PACKAGE_PIN D9 [get_ports GPIO_LED_1]
48
set_property IOSTANDARD LVCMOS33 [get_ports GPIO_LED_1]
49
set_property PACKAGE_PIN E10 [get_ports GPIO_LED_2]
50
set_property IOSTANDARD LVCMOS33 [get_ports GPIO_LED_2]
51
set_property PACKAGE_PIN E11 [get_ports GPIO_LED_3]
52
set_property IOSTANDARD LVCMOS33 [get_ports GPIO_LED_3]
53
set_property PACKAGE_PIN F9 [get_ports GPIO_LED_4]
54
set_property IOSTANDARD LVCMOS33 [get_ports GPIO_LED_4]
55
set_property PACKAGE_PIN F10 [get_ports GPIO_LED_5]
56
set_property IOSTANDARD LVCMOS33 [get_ports GPIO_LED_5]
57
set_property PACKAGE_PIN G9 [get_ports GPIO_LED_6]
58
set_property IOSTANDARD LVCMOS33 [get_ports GPIO_LED_6]
59
set_property PACKAGE_PIN G10 [get_ports GPIO_LED_7]
60
set_property IOSTANDARD LVCMOS33 [get_ports GPIO_LED_7]
61
 
62
#CLOCKS
63
 
64
#create_clock -period 1000000.000 -name AM_CLOCK -waveform {0.000 500000.000} [get_ports CLK_125_P]
65
 
66
set_property ALLOW_COMBINATORIAL_LOOPS true [get_nets *]
67
# set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets *]
68
 
69
# Forum clock (90.0 MHz single-ended 1.8V LVCMOS, series resistor coupled FPGA_EMCCLK, connected to XCKU5P FPGA U1 bank 65 dedicated EMCCLK input pin N21), works posedge
70
set_property PACKAGE_PIN N21 [get_ports CLK_I]
71
set_property IOSTANDARD LVCMOS18 [get_ports CLK_I]
72
 
73
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets CLK_I_IBUF_inst/O]
74
 
75
create_clock -period 11.111 -name CLK_I -waveform {0.000 5.556} [get_ports CLK_I]
76
 
77
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.