OpenCores
URL https://opencores.org/ocsvn/uart_plb/uart_plb/trunk

Subversion Repositories uart_plb

[/] [uart_plb/] [trunk/] [pcores/] [uart_plb_v1_00_a/] [data/] [_uart_plb_xst.prj] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 gavinux
vhdl proc_common_v3_00_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/proc_common_pkg.vhd"
2
vhdl proc_common_v3_00_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ipif_pkg.vhd"
3
vhdl proc_common_v3_00_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_muxcy.vhd"
4
vhdl proc_common_v3_00_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_gate128.vhd"
5
vhdl proc_common_v3_00_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/family_support.vhd"
6
vhdl proc_common_v3_00_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pselect_f.vhd"
7
vhdl proc_common_v3_00_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/counter_f.vhd"
8
vhdl plbv46_slave_single_v1_01_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1_01_a/hdl/vhdl/plb_address_decoder.vhd"
9
vhdl plbv46_slave_single_v1_01_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1_01_a/hdl/vhdl/plb_slave_attachment.vhd"
10
vhdl plbv46_slave_single_v1_01_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1_01_a/hdl/vhdl/plbv46_slave_single.vhd"
11
vhdl interrupt_control_v2_01_a "C:\Xilinx\13.1\ISE_DS\EDK/hw/XilinxProcessorIPLib/pcores/interrupt_control_v2_01_a/hdl/vhdl/interrupt_control.vhd"
12
vhdl uart_plb_v1_00_a "../hdl/vhdl/user_logic.vhd"
13
vhdl uart_plb_v1_00_a "../hdl/vhdl/uart_plb.vhd"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.