OpenCores
URL https://opencores.org/ocsvn/uart_plb/uart_plb/trunk

Subversion Repositories uart_plb

[/] [uart_plb/] [trunk/] [pcores/] [uart_plb_v1_00_a/] [hdl/] [vhdl/] [fifo_generator_v8_1_8x16.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 gavinux
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2011 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file fifo_generator_v8_1_8x16.vhd when simulating
30
-- the core, fifo_generator_v8_1_8x16. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
LIBRARY XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY fifo_generator_v8_1_8x16 IS
44
  PORT (
45
    clk : IN STD_LOGIC;
46
    srst : IN STD_LOGIC;
47
    din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
48
    wr_en : IN STD_LOGIC;
49
    rd_en : IN STD_LOGIC;
50
    dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
51
    full : OUT STD_LOGIC;
52
    almost_full : OUT STD_LOGIC;
53
    empty : OUT STD_LOGIC;
54
    almost_empty : OUT STD_LOGIC
55
  );
56
END fifo_generator_v8_1_8x16;
57
 
58
ARCHITECTURE fifo_generator_v8_1_8x16_a OF fifo_generator_v8_1_8x16 IS
59
-- synthesis translate_off
60
COMPONENT wrapped_fifo_generator_v8_1_8x16
61
  PORT (
62
    clk : IN STD_LOGIC;
63
    srst : IN STD_LOGIC;
64
    din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
65
    wr_en : IN STD_LOGIC;
66
    rd_en : IN STD_LOGIC;
67
    dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
68
    full : OUT STD_LOGIC;
69
    almost_full : OUT STD_LOGIC;
70
    empty : OUT STD_LOGIC;
71
    almost_empty : OUT STD_LOGIC
72
  );
73
END COMPONENT;
74
 
75
-- Configuration specification
76
  FOR ALL : wrapped_fifo_generator_v8_1_8x16 USE ENTITY XilinxCoreLib.fifo_generator_v8_1(behavioral)
77
    GENERIC MAP (
78
      c_add_ngc_constraint => 0,
79
      c_application_type_axis => 0,
80
      c_application_type_rach => 0,
81
      c_application_type_rdch => 0,
82
      c_application_type_wach => 0,
83
      c_application_type_wdch => 0,
84
      c_application_type_wrch => 0,
85
      c_axi_addr_width => 32,
86
      c_axi_aruser_width => 1,
87
      c_axi_awuser_width => 1,
88
      c_axi_buser_width => 1,
89
      c_axi_data_width => 64,
90
      c_axi_id_width => 4,
91
      c_axi_ruser_width => 1,
92
      c_axi_type => 0,
93
      c_axi_wuser_width => 1,
94
      c_axis_tdata_width => 64,
95
      c_axis_tdest_width => 4,
96
      c_axis_tid_width => 8,
97
      c_axis_tkeep_width => 4,
98
      c_axis_tstrb_width => 4,
99
      c_axis_tuser_width => 4,
100
      c_axis_type => 0,
101
      c_common_clock => 1,
102
      c_count_type => 0,
103
      c_data_count_width => 4,
104
      c_default_value => "BlankString",
105
      c_din_width => 8,
106
      c_din_width_axis => 1,
107
      c_din_width_rach => 32,
108
      c_din_width_rdch => 64,
109
      c_din_width_wach => 32,
110
      c_din_width_wdch => 64,
111
      c_din_width_wrch => 2,
112
      c_dout_rst_val => "0",
113
      c_dout_width => 8,
114
      c_enable_rlocs => 0,
115
      c_enable_rst_sync => 1,
116
      c_error_injection_type => 0,
117
      c_error_injection_type_axis => 0,
118
      c_error_injection_type_rach => 0,
119
      c_error_injection_type_rdch => 0,
120
      c_error_injection_type_wach => 0,
121
      c_error_injection_type_wdch => 0,
122
      c_error_injection_type_wrch => 0,
123
      c_family => "spartan3",
124
      c_full_flags_rst_val => 0,
125
      c_has_almost_empty => 1,
126
      c_has_almost_full => 1,
127
      c_has_axi_aruser => 0,
128
      c_has_axi_awuser => 0,
129
      c_has_axi_buser => 0,
130
      c_has_axi_rd_channel => 0,
131
      c_has_axi_ruser => 0,
132
      c_has_axi_wr_channel => 0,
133
      c_has_axi_wuser => 0,
134
      c_has_axis_tdata => 0,
135
      c_has_axis_tdest => 0,
136
      c_has_axis_tid => 0,
137
      c_has_axis_tkeep => 0,
138
      c_has_axis_tlast => 0,
139
      c_has_axis_tready => 1,
140
      c_has_axis_tstrb => 0,
141
      c_has_axis_tuser => 0,
142
      c_has_backup => 0,
143
      c_has_data_count => 0,
144
      c_has_data_counts_axis => 0,
145
      c_has_data_counts_rach => 0,
146
      c_has_data_counts_rdch => 0,
147
      c_has_data_counts_wach => 0,
148
      c_has_data_counts_wdch => 0,
149
      c_has_data_counts_wrch => 0,
150
      c_has_int_clk => 0,
151
      c_has_master_ce => 0,
152
      c_has_meminit_file => 0,
153
      c_has_overflow => 0,
154
      c_has_prog_flags_axis => 0,
155
      c_has_prog_flags_rach => 0,
156
      c_has_prog_flags_rdch => 0,
157
      c_has_prog_flags_wach => 0,
158
      c_has_prog_flags_wdch => 0,
159
      c_has_prog_flags_wrch => 0,
160
      c_has_rd_data_count => 0,
161
      c_has_rd_rst => 0,
162
      c_has_rst => 0,
163
      c_has_slave_ce => 0,
164
      c_has_srst => 1,
165
      c_has_underflow => 0,
166
      c_has_valid => 0,
167
      c_has_wr_ack => 0,
168
      c_has_wr_data_count => 0,
169
      c_has_wr_rst => 0,
170
      c_implementation_type => 0,
171
      c_implementation_type_axis => 1,
172
      c_implementation_type_rach => 1,
173
      c_implementation_type_rdch => 1,
174
      c_implementation_type_wach => 1,
175
      c_implementation_type_wdch => 1,
176
      c_implementation_type_wrch => 1,
177
      c_init_wr_pntr_val => 0,
178
      c_interface_type => 0,
179
      c_memory_type => 1,
180
      c_mif_file_name => "BlankString",
181
      c_msgon_val => 1,
182
      c_optimization_mode => 0,
183
      c_overflow_low => 0,
184
      c_preload_latency => 1,
185
      c_preload_regs => 0,
186
      c_prim_fifo_type => "512x36",
187
      c_prog_empty_thresh_assert_val => 2,
188
      c_prog_empty_thresh_assert_val_axis => 1022,
189
      c_prog_empty_thresh_assert_val_rach => 1022,
190
      c_prog_empty_thresh_assert_val_rdch => 1022,
191
      c_prog_empty_thresh_assert_val_wach => 1022,
192
      c_prog_empty_thresh_assert_val_wdch => 1022,
193
      c_prog_empty_thresh_assert_val_wrch => 1022,
194
      c_prog_empty_thresh_negate_val => 3,
195
      c_prog_empty_type => 0,
196
      c_prog_empty_type_axis => 5,
197
      c_prog_empty_type_rach => 5,
198
      c_prog_empty_type_rdch => 5,
199
      c_prog_empty_type_wach => 5,
200
      c_prog_empty_type_wdch => 5,
201
      c_prog_empty_type_wrch => 5,
202
      c_prog_full_thresh_assert_val => 14,
203
      c_prog_full_thresh_assert_val_axis => 1023,
204
      c_prog_full_thresh_assert_val_rach => 1023,
205
      c_prog_full_thresh_assert_val_rdch => 1023,
206
      c_prog_full_thresh_assert_val_wach => 1023,
207
      c_prog_full_thresh_assert_val_wdch => 1023,
208
      c_prog_full_thresh_assert_val_wrch => 1023,
209
      c_prog_full_thresh_negate_val => 13,
210
      c_prog_full_type => 0,
211
      c_prog_full_type_axis => 5,
212
      c_prog_full_type_rach => 5,
213
      c_prog_full_type_rdch => 5,
214
      c_prog_full_type_wach => 5,
215
      c_prog_full_type_wdch => 5,
216
      c_prog_full_type_wrch => 5,
217
      c_rach_type => 0,
218
      c_rd_data_count_width => 4,
219
      c_rd_depth => 16,
220
      c_rd_freq => 1,
221
      c_rd_pntr_width => 4,
222
      c_rdch_type => 0,
223
      c_reg_slice_mode_axis => 0,
224
      c_reg_slice_mode_rach => 0,
225
      c_reg_slice_mode_rdch => 0,
226
      c_reg_slice_mode_wach => 0,
227
      c_reg_slice_mode_wdch => 0,
228
      c_reg_slice_mode_wrch => 0,
229
      c_underflow_low => 0,
230
      c_use_common_overflow => 0,
231
      c_use_common_underflow => 0,
232
      c_use_default_settings => 0,
233
      c_use_dout_rst => 0,
234
      c_use_ecc => 0,
235
      c_use_ecc_axis => 0,
236
      c_use_ecc_rach => 0,
237
      c_use_ecc_rdch => 0,
238
      c_use_ecc_wach => 0,
239
      c_use_ecc_wdch => 0,
240
      c_use_ecc_wrch => 0,
241
      c_use_embedded_reg => 0,
242
      c_use_fifo16_flags => 0,
243
      c_use_fwft_data_count => 0,
244
      c_valid_low => 0,
245
      c_wach_type => 0,
246
      c_wdch_type => 0,
247
      c_wr_ack_low => 0,
248
      c_wr_data_count_width => 4,
249
      c_wr_depth => 16,
250
      c_wr_depth_axis => 1024,
251
      c_wr_depth_rach => 16,
252
      c_wr_depth_rdch => 1024,
253
      c_wr_depth_wach => 16,
254
      c_wr_depth_wdch => 1024,
255
      c_wr_depth_wrch => 16,
256
      c_wr_freq => 1,
257
      c_wr_pntr_width => 4,
258
      c_wr_pntr_width_axis => 10,
259
      c_wr_pntr_width_rach => 4,
260
      c_wr_pntr_width_rdch => 10,
261
      c_wr_pntr_width_wach => 4,
262
      c_wr_pntr_width_wdch => 10,
263
      c_wr_pntr_width_wrch => 4,
264
      c_wr_response_latency => 1,
265
      c_wrch_type => 0
266
    );
267
-- synthesis translate_on
268
BEGIN
269
-- synthesis translate_off
270
U0 : wrapped_fifo_generator_v8_1_8x16
271
  PORT MAP (
272
    clk => clk,
273
    srst => srst,
274
    din => din,
275
    wr_en => wr_en,
276
    rd_en => rd_en,
277
    dout => dout,
278
    full => full,
279
    almost_full => almost_full,
280
    empty => empty,
281
    almost_empty => almost_empty
282
  );
283
-- synthesis translate_on
284
 
285
END fifo_generator_v8_1_8x16_a;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.