OpenCores
URL https://opencores.org/ocsvn/uart_plb/uart_plb/trunk

Subversion Repositories uart_plb

[/] [uart_plb/] [trunk/] [pcores/] [uart_plb_v1_00_a/] [hdl/] [vhdl/] [uart_plb.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 gavinux
------------------------------------------------------------------------------
2
-- uart_plb.vhd - entity/architecture pair
3
------------------------------------------------------------------------------
4
-- IMPORTANT:
5
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
6
--
7
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
8
--
9
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
10
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
11
-- OF THE USER_LOGIC ENTITY.
12
------------------------------------------------------------------------------
13
--
14
-- ***************************************************************************
15
-- ** Copyright (c) 1995-2010 Xilinx, Inc.  All rights reserved.            **
16
-- **                                                                       **
17
-- ** Xilinx, Inc.                                                          **
18
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"         **
19
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND       **
20
-- ** SOLUTIONS FOR XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE,        **
21
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,        **
22
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION           **
23
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,     **
24
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE      **
25
-- ** FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY              **
26
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE               **
27
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR        **
28
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF       **
29
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS       **
30
-- ** FOR A PARTICULAR PURPOSE.                                             **
31
-- **                                                                       **
32
-- ***************************************************************************
33
--
34
------------------------------------------------------------------------------
35
-- Filename:          uart_plb.vhd
36
-- Version:           1.00.a
37
-- Description:       Top level design, instantiates library components and user logic.
38
-- Date:              Fri Jun 03 17:26:27 2011 (by Create and Import Peripheral Wizard)
39
-- VHDL Standard:     VHDL'93
40
------------------------------------------------------------------------------
41
-- Naming Conventions:
42
--   active low signals:                    "*_n"
43
--   clock signals:                         "clk", "clk_div#", "clk_#x"
44
--   reset signals:                         "rst", "rst_n"
45
--   generics:                              "C_*"
46
--   user defined types:                    "*_TYPE"
47
--   state machine next state:              "*_ns"
48
--   state machine current state:           "*_cs"
49
--   combinatorial signals:                 "*_com"
50
--   pipelined or register delay signals:   "*_d#"
51
--   counter signals:                       "*cnt*"
52
--   clock enable signals:                  "*_ce"
53
--   internal version of output port:       "*_i"
54
--   device pins:                           "*_pin"
55
--   ports:                                 "- Names begin with Uppercase"
56
--   processes:                             "*_PROCESS"
57
--   component instantiations:              "<ENTITY_>I_<#|FUNC>"
58
------------------------------------------------------------------------------
59
 
60
library ieee;
61
use ieee.std_logic_1164.all;
62
use ieee.std_logic_arith.all;
63
use ieee.std_logic_unsigned.all;
64
 
65
library proc_common_v3_00_a;
66
use proc_common_v3_00_a.proc_common_pkg.all;
67
use proc_common_v3_00_a.ipif_pkg.all;
68
 
69
library interrupt_control_v2_01_a;
70
use interrupt_control_v2_01_a.interrupt_control;
71
 
72
library plbv46_slave_single_v1_01_a;
73
use plbv46_slave_single_v1_01_a.plbv46_slave_single;
74
 
75
library uart_plb_v1_00_a;
76
use uart_plb_v1_00_a.user_logic;
77
 
78
------------------------------------------------------------------------------
79
-- Entity section
80
------------------------------------------------------------------------------
81
-- Definition of Generics:
82
--   C_BASEADDR                   -- PLBv46 slave: base address
83
--   C_HIGHADDR                   -- PLBv46 slave: high address
84
--   C_SPLB_AWIDTH                -- PLBv46 slave: address bus width
85
--   C_SPLB_DWIDTH                -- PLBv46 slave: data bus width
86
--   C_SPLB_NUM_MASTERS           -- PLBv46 slave: Number of masters
87
--   C_SPLB_MID_WIDTH             -- PLBv46 slave: master ID bus width
88
--   C_SPLB_NATIVE_DWIDTH         -- PLBv46 slave: internal native data bus width
89
--   C_SPLB_P2P                   -- PLBv46 slave: point to point interconnect scheme
90
--   C_SPLB_SUPPORT_BURSTS        -- PLBv46 slave: support bursts
91
--   C_SPLB_SMALLEST_MASTER       -- PLBv46 slave: width of the smallest master
92
--   C_SPLB_CLK_PERIOD_PS         -- PLBv46 slave: bus clock in picoseconds
93
--   C_INCLUDE_DPHASE_TIMER       -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer
94
--   C_FAMILY                     -- Xilinx FPGA family
95
--
96
-- Definition of Ports:
97
--   SPLB_Clk                     -- PLB main bus clock
98
--   SPLB_Rst                     -- PLB main bus reset
99
--   PLB_ABus                     -- PLB address bus
100
--   PLB_UABus                    -- PLB upper address bus
101
--   PLB_PAValid                  -- PLB primary address valid indicator
102
--   PLB_SAValid                  -- PLB secondary address valid indicator
103
--   PLB_rdPrim                   -- PLB secondary to primary read request indicator
104
--   PLB_wrPrim                   -- PLB secondary to primary write request indicator
105
--   PLB_masterID                 -- PLB current master identifier
106
--   PLB_abort                    -- PLB abort request indicator
107
--   PLB_busLock                  -- PLB bus lock
108
--   PLB_RNW                      -- PLB read/not write
109
--   PLB_BE                       -- PLB byte enables
110
--   PLB_MSize                    -- PLB master data bus size
111
--   PLB_size                     -- PLB transfer size
112
--   PLB_type                     -- PLB transfer type
113
--   PLB_lockErr                  -- PLB lock error indicator
114
--   PLB_wrDBus                   -- PLB write data bus
115
--   PLB_wrBurst                  -- PLB burst write transfer indicator
116
--   PLB_rdBurst                  -- PLB burst read transfer indicator
117
--   PLB_wrPendReq                -- PLB write pending bus request indicator
118
--   PLB_rdPendReq                -- PLB read pending bus request indicator
119
--   PLB_wrPendPri                -- PLB write pending request priority
120
--   PLB_rdPendPri                -- PLB read pending request priority
121
--   PLB_reqPri                   -- PLB current request priority
122
--   PLB_TAttribute               -- PLB transfer attribute
123
--   Sl_addrAck                   -- Slave address acknowledge
124
--   Sl_SSize                     -- Slave data bus size
125
--   Sl_wait                      -- Slave wait indicator
126
--   Sl_rearbitrate               -- Slave re-arbitrate bus indicator
127
--   Sl_wrDAck                    -- Slave write data acknowledge
128
--   Sl_wrComp                    -- Slave write transfer complete indicator
129
--   Sl_wrBTerm                   -- Slave terminate write burst transfer
130
--   Sl_rdDBus                    -- Slave read data bus
131
--   Sl_rdWdAddr                  -- Slave read word address
132
--   Sl_rdDAck                    -- Slave read data acknowledge
133
--   Sl_rdComp                    -- Slave read transfer complete indicator
134
--   Sl_rdBTerm                   -- Slave terminate read burst transfer
135
--   Sl_MBusy                     -- Slave busy indicator
136
--   Sl_MWrErr                    -- Slave write error indicator
137
--   Sl_MRdErr                    -- Slave read error indicator
138
--   Sl_MIRQ                      -- Slave interrupt indicator
139
--   IP2INTC_Irpt                 -- Interrupt output to processor
140
------------------------------------------------------------------------------
141
 
142
entity uart_plb is
143
  generic
144
  (
145
    -- ADD USER GENERICS BELOW THIS LINE ---------------
146
    --USER generics added here
147
    -- ADD USER GENERICS ABOVE THIS LINE ---------------
148
 
149
    -- DO NOT EDIT BELOW THIS LINE ---------------------
150
    -- Bus protocol parameters, do not add to or delete
151
    C_BASEADDR                     : std_logic_vector     := X"FFFFFFFF";
152
    C_HIGHADDR                     : std_logic_vector     := X"00000000";
153
    C_SPLB_AWIDTH                  : integer              := 32;
154
    C_SPLB_DWIDTH                  : integer              := 128;
155
    C_SPLB_NUM_MASTERS             : integer              := 8;
156
    C_SPLB_MID_WIDTH               : integer              := 3;
157
    C_SPLB_NATIVE_DWIDTH           : integer              := 32;
158
    C_SPLB_P2P                     : integer              := 0;
159
    C_SPLB_SUPPORT_BURSTS          : integer              := 0;
160
    C_SPLB_SMALLEST_MASTER         : integer              := 32;
161
    C_SPLB_CLK_PERIOD_PS           : integer              := 10000;
162
    C_INCLUDE_DPHASE_TIMER         : integer              := 0;
163
    C_FAMILY                       : string               := "virtex6"
164
    -- DO NOT EDIT ABOVE THIS LINE ---------------------
165
  );
166
  port
167
  (
168
    -- ADD USER PORTS BELOW THIS LINE ------------------
169
    --USER ports added here
170
    tx_sout                        : out std_logic;
171
    rx_sin                         : in  std_logic;
172
    -- ADD USER PORTS ABOVE THIS LINE ------------------
173
 
174
    -- DO NOT EDIT BELOW THIS LINE ---------------------
175
    -- Bus protocol ports, do not add to or delete
176
    SPLB_Clk                       : in  std_logic;
177
    SPLB_Rst                       : in  std_logic;
178
    PLB_ABus                       : in  std_logic_vector(0 to 31);
179
    PLB_UABus                      : in  std_logic_vector(0 to 31);
180
    PLB_PAValid                    : in  std_logic;
181
    PLB_SAValid                    : in  std_logic;
182
    PLB_rdPrim                     : in  std_logic;
183
    PLB_wrPrim                     : in  std_logic;
184
    PLB_masterID                   : in  std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
185
    PLB_abort                      : in  std_logic;
186
    PLB_busLock                    : in  std_logic;
187
    PLB_RNW                        : in  std_logic;
188
    PLB_BE                         : in  std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
189
    PLB_MSize                      : in  std_logic_vector(0 to 1);
190
    PLB_size                       : in  std_logic_vector(0 to 3);
191
    PLB_type                       : in  std_logic_vector(0 to 2);
192
    PLB_lockErr                    : in  std_logic;
193
    PLB_wrDBus                     : in  std_logic_vector(0 to C_SPLB_DWIDTH-1);
194
    PLB_wrBurst                    : in  std_logic;
195
    PLB_rdBurst                    : in  std_logic;
196
    PLB_wrPendReq                  : in  std_logic;
197
    PLB_rdPendReq                  : in  std_logic;
198
    PLB_wrPendPri                  : in  std_logic_vector(0 to 1);
199
    PLB_rdPendPri                  : in  std_logic_vector(0 to 1);
200
    PLB_reqPri                     : in  std_logic_vector(0 to 1);
201
    PLB_TAttribute                 : in  std_logic_vector(0 to 15);
202
    Sl_addrAck                     : out std_logic;
203
    Sl_SSize                       : out std_logic_vector(0 to 1);
204
    Sl_wait                        : out std_logic;
205
    Sl_rearbitrate                 : out std_logic;
206
    Sl_wrDAck                      : out std_logic;
207
    Sl_wrComp                      : out std_logic;
208
    Sl_wrBTerm                     : out std_logic;
209
    Sl_rdDBus                      : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
210
    Sl_rdWdAddr                    : out std_logic_vector(0 to 3);
211
    Sl_rdDAck                      : out std_logic;
212
    Sl_rdComp                      : out std_logic;
213
    Sl_rdBTerm                     : out std_logic;
214
    Sl_MBusy                       : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
215
    Sl_MWrErr                      : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
216
    Sl_MRdErr                      : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
217
    Sl_MIRQ                        : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
218
    IP2INTC_Irpt                   : out std_logic
219
    -- DO NOT EDIT ABOVE THIS LINE ---------------------
220
  );
221
 
222
  attribute SIGIS : string;
223
  attribute SIGIS of SPLB_Clk      : signal is "CLK";
224
  attribute SIGIS of SPLB_Rst      : signal is "RST";
225
  attribute SIGIS of IP2INTC_Irpt  : signal is "INTR_LEVEL_HIGH";
226
 
227
end entity uart_plb;
228
 
229
------------------------------------------------------------------------------
230
-- Architecture section
231
------------------------------------------------------------------------------
232
 
233
architecture IMP of uart_plb is
234
 
235
  ------------------------------------------
236
  -- Array of base/high address pairs for each address range
237
  ------------------------------------------
238
  constant ZERO_ADDR_PAD                  : std_logic_vector(0 to 31) := (others => '0');
239
  constant USER_SLV_BASEADDR              : std_logic_vector     := C_BASEADDR or X"00000000";
240
  constant USER_SLV_HIGHADDR              : std_logic_vector     := C_BASEADDR or X"000000FF";
241
  constant INTR_BASEADDR                  : std_logic_vector     := C_BASEADDR or X"00000100";
242
  constant INTR_HIGHADDR                  : std_logic_vector     := C_BASEADDR or X"000001FF";
243
 
244
  constant IPIF_ARD_ADDR_RANGE_ARRAY      : SLV64_ARRAY_TYPE     :=
245
    (
246
      ZERO_ADDR_PAD & USER_SLV_BASEADDR,  -- user logic slave space base address
247
      ZERO_ADDR_PAD & USER_SLV_HIGHADDR,  -- user logic slave space high address
248
      ZERO_ADDR_PAD & INTR_BASEADDR,      -- interrupt control space base address
249
      ZERO_ADDR_PAD & INTR_HIGHADDR       -- interrupt control space high address
250
    );
251
 
252
  ------------------------------------------
253
  -- Array of desired number of chip enables for each address range
254
  ------------------------------------------
255
  constant USER_SLV_NUM_REG               : integer              := 8;
256
  constant USER_NUM_REG                   : integer              := USER_SLV_NUM_REG;
257
  constant INTR_NUM_CE                    : integer              := 16;
258
 
259
  constant IPIF_ARD_NUM_CE_ARRAY          : INTEGER_ARRAY_TYPE   :=
260
    (
261
 
262
      1  => INTR_NUM_CE                   -- number of ce for interrupt control space
263
    );
264
 
265
  ------------------------------------------
266
  -- Ratio of bus clock to core clock (for use in dual clock systems)
267
  -- 1 = ratio is 1:1
268
  -- 2 = ratio is 2:1
269
  ------------------------------------------
270
  constant IPIF_BUS2CORE_CLK_RATIO        : integer              := 1;
271
 
272
  ------------------------------------------
273
  -- Width of the slave data bus (32 only)
274
  ------------------------------------------
275
  constant USER_SLV_DWIDTH                : integer              := C_SPLB_NATIVE_DWIDTH;
276
 
277
  constant IPIF_SLV_DWIDTH                : integer              := C_SPLB_NATIVE_DWIDTH;
278
 
279
  ------------------------------------------
280
  -- Number of device level interrupts
281
  ------------------------------------------
282
  constant INTR_NUM_IPIF_IRPT_SRC         : integer              := 4;
283
 
284
  ------------------------------------------
285
  -- Capture mode for each IP interrupt (generated by user logic)
286
  -- 1 = pass through (non-inverting)
287
  -- 2 = pass through (inverting)
288
  -- 3 = registered level (non-inverting)
289
  -- 4 = registered level (inverting)
290
  -- 5 = positive edge detect
291
  -- 6 = negative edge detect
292
  ------------------------------------------
293
  constant USER_NUM_INTR                  : integer              := 1;
294
  constant USER_INTR_CAPTURE_MODE         : integer              := 1;
295
 
296
  constant INTR_IP_INTR_MODE_ARRAY        : INTEGER_ARRAY_TYPE   :=
297
    (
298
 
299
    );
300
 
301
  ------------------------------------------
302
  -- Device priority encoder feature inclusion/omission
303
  -- true  = include priority encoder
304
  -- false = omit priority encoder
305
  ------------------------------------------
306
  constant INTR_INCLUDE_DEV_PENCODER      : boolean              := false;
307
 
308
  ------------------------------------------
309
  -- Device ISC feature inclusion/omission
310
  -- true  = include device ISC
311
  -- false = omit device ISC
312
  ------------------------------------------
313
  constant INTR_INCLUDE_DEV_ISC           : boolean              := false;
314
 
315
  ------------------------------------------
316
  -- Index for CS/CE
317
  ------------------------------------------
318
  constant USER_SLV_CS_INDEX              : integer              := 0;
319
  constant USER_SLV_CE_INDEX              : integer              := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
320
  constant INTR_CS_INDEX                  : integer              := 1;
321
  constant INTR_CE_INDEX                  : integer              := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, INTR_CS_INDEX);
322
 
323
  constant USER_CE_INDEX                  : integer              := USER_SLV_CE_INDEX;
324
 
325
  ------------------------------------------
326
  -- IP Interconnect (IPIC) signal declarations
327
  ------------------------------------------
328
  signal ipif_Bus2IP_Clk                : std_logic;
329
  signal ipif_Bus2IP_Reset              : std_logic;
330
  signal ipif_IP2Bus_Data               : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
331
  signal ipif_IP2Bus_WrAck              : std_logic;
332
  signal ipif_IP2Bus_RdAck              : std_logic;
333
  signal ipif_IP2Bus_Error              : std_logic;
334
  signal ipif_Bus2IP_Addr               : std_logic_vector(0 to C_SPLB_AWIDTH-1);
335
  signal ipif_Bus2IP_Data               : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
336
  signal ipif_Bus2IP_RNW                : std_logic;
337
  signal ipif_Bus2IP_BE                 : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
338
  signal ipif_Bus2IP_CS                 : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
339
  signal ipif_Bus2IP_RdCE               : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
340
  signal ipif_Bus2IP_WrCE               : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
341
  signal intr_IPIF_Reg_Interrupts       : std_logic_vector(0 to 1);
342
  signal intr_IPIF_Lvl_Interrupts       : std_logic_vector(0 to INTR_NUM_IPIF_IRPT_SRC-1);
343
  signal intr_IP2Bus_Data               : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
344
  signal intr_IP2Bus_WrAck              : std_logic;
345
  signal intr_IP2Bus_RdAck              : std_logic;
346
  signal intr_IP2Bus_Error              : std_logic;
347
  signal user_Bus2IP_RdCE               : std_logic_vector(0 to USER_NUM_REG-1);
348
  signal user_Bus2IP_WrCE               : std_logic_vector(0 to USER_NUM_REG-1);
349
  signal user_IP2Bus_Data               : std_logic_vector(0 to USER_SLV_DWIDTH-1);
350
  signal user_IP2Bus_RdAck              : std_logic;
351
  signal user_IP2Bus_WrAck              : std_logic;
352
  signal user_IP2Bus_Error              : std_logic;
353
  signal user_IP2Bus_IntrEvent          : std_logic_vector(0 to USER_NUM_INTR-1);
354
 
355
begin
356
 
357
  ------------------------------------------
358
  -- instantiate plbv46_slave_single
359
  ------------------------------------------
360
  PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single
361
    generic map
362
    (
363
      C_ARD_ADDR_RANGE_ARRAY         => IPIF_ARD_ADDR_RANGE_ARRAY,
364
      C_ARD_NUM_CE_ARRAY             => IPIF_ARD_NUM_CE_ARRAY,
365
      C_SPLB_P2P                     => C_SPLB_P2P,
366
      C_BUS2CORE_CLK_RATIO           => IPIF_BUS2CORE_CLK_RATIO,
367
      C_SPLB_MID_WIDTH               => C_SPLB_MID_WIDTH,
368
      C_SPLB_NUM_MASTERS             => C_SPLB_NUM_MASTERS,
369
      C_SPLB_AWIDTH                  => C_SPLB_AWIDTH,
370
      C_SPLB_DWIDTH                  => C_SPLB_DWIDTH,
371
      C_SIPIF_DWIDTH                 => IPIF_SLV_DWIDTH,
372
      C_INCLUDE_DPHASE_TIMER         => C_INCLUDE_DPHASE_TIMER,
373
      C_FAMILY                       => C_FAMILY
374
    )
375
    port map
376
    (
377
      SPLB_Clk                       => SPLB_Clk,
378
      SPLB_Rst                       => SPLB_Rst,
379
      PLB_ABus                       => PLB_ABus,
380
      PLB_UABus                      => PLB_UABus,
381
      PLB_PAValid                    => PLB_PAValid,
382
      PLB_SAValid                    => PLB_SAValid,
383
      PLB_rdPrim                     => PLB_rdPrim,
384
      PLB_wrPrim                     => PLB_wrPrim,
385
      PLB_masterID                   => PLB_masterID,
386
      PLB_abort                      => PLB_abort,
387
      PLB_busLock                    => PLB_busLock,
388
      PLB_RNW                        => PLB_RNW,
389
      PLB_BE                         => PLB_BE,
390
      PLB_MSize                      => PLB_MSize,
391
      PLB_size                       => PLB_size,
392
      PLB_type                       => PLB_type,
393
      PLB_lockErr                    => PLB_lockErr,
394
      PLB_wrDBus                     => PLB_wrDBus,
395
      PLB_wrBurst                    => PLB_wrBurst,
396
      PLB_rdBurst                    => PLB_rdBurst,
397
      PLB_wrPendReq                  => PLB_wrPendReq,
398
      PLB_rdPendReq                  => PLB_rdPendReq,
399
      PLB_wrPendPri                  => PLB_wrPendPri,
400
      PLB_rdPendPri                  => PLB_rdPendPri,
401
      PLB_reqPri                     => PLB_reqPri,
402
      PLB_TAttribute                 => PLB_TAttribute,
403
      Sl_addrAck                     => Sl_addrAck,
404
      Sl_SSize                       => Sl_SSize,
405
      Sl_wait                        => Sl_wait,
406
      Sl_rearbitrate                 => Sl_rearbitrate,
407
      Sl_wrDAck                      => Sl_wrDAck,
408
      Sl_wrComp                      => Sl_wrComp,
409
      Sl_wrBTerm                     => Sl_wrBTerm,
410
      Sl_rdDBus                      => Sl_rdDBus,
411
      Sl_rdWdAddr                    => Sl_rdWdAddr,
412
      Sl_rdDAck                      => Sl_rdDAck,
413
      Sl_rdComp                      => Sl_rdComp,
414
      Sl_rdBTerm                     => Sl_rdBTerm,
415
      Sl_MBusy                       => Sl_MBusy,
416
      Sl_MWrErr                      => Sl_MWrErr,
417
      Sl_MRdErr                      => Sl_MRdErr,
418
      Sl_MIRQ                        => Sl_MIRQ,
419
      Bus2IP_Clk                     => ipif_Bus2IP_Clk,
420
      Bus2IP_Reset                   => ipif_Bus2IP_Reset,
421
      IP2Bus_Data                    => ipif_IP2Bus_Data,
422
      IP2Bus_WrAck                   => ipif_IP2Bus_WrAck,
423
      IP2Bus_RdAck                   => ipif_IP2Bus_RdAck,
424
      IP2Bus_Error                   => ipif_IP2Bus_Error,
425
      Bus2IP_Addr                    => ipif_Bus2IP_Addr,
426
      Bus2IP_Data                    => ipif_Bus2IP_Data,
427
      Bus2IP_RNW                     => ipif_Bus2IP_RNW,
428
      Bus2IP_BE                      => ipif_Bus2IP_BE,
429
      Bus2IP_CS                      => ipif_Bus2IP_CS,
430
      Bus2IP_RdCE                    => ipif_Bus2IP_RdCE,
431
      Bus2IP_WrCE                    => ipif_Bus2IP_WrCE
432
    );
433
 
434
  ------------------------------------------
435
  -- instantiate interrupt_control
436
  ------------------------------------------
437
  INTERRUPT_CONTROL_I : entity interrupt_control_v2_01_a.interrupt_control
438
    generic map
439
    (
440
      C_NUM_CE                       => INTR_NUM_CE,
441
      C_NUM_IPIF_IRPT_SRC            => INTR_NUM_IPIF_IRPT_SRC,
442
      C_IP_INTR_MODE_ARRAY           => INTR_IP_INTR_MODE_ARRAY,
443
      C_INCLUDE_DEV_PENCODER         => INTR_INCLUDE_DEV_PENCODER,
444
      C_INCLUDE_DEV_ISC              => INTR_INCLUDE_DEV_ISC,
445
      C_IPIF_DWIDTH                  => IPIF_SLV_DWIDTH
446
    )
447
    port map
448
    (
449
      Bus2IP_Clk                     => ipif_Bus2IP_Clk,
450
      Bus2IP_Reset                   => ipif_Bus2IP_Reset,
451
      Bus2IP_Data                    => ipif_Bus2IP_Data,
452
      Bus2IP_BE                      => ipif_Bus2IP_BE,
453
      Interrupt_RdCE                 => ipif_Bus2IP_RdCE(INTR_CE_INDEX to INTR_CE_INDEX+INTR_NUM_CE-1),
454
      Interrupt_WrCE                 => ipif_Bus2IP_WrCE(INTR_CE_INDEX to INTR_CE_INDEX+INTR_NUM_CE-1),
455
      IPIF_Reg_Interrupts            => intr_IPIF_Reg_Interrupts,
456
      IPIF_Lvl_Interrupts            => intr_IPIF_Lvl_Interrupts,
457
      IP2Bus_IntrEvent               => user_IP2Bus_IntrEvent,
458
      Intr2Bus_DevIntr               => IP2INTC_Irpt,
459
      Intr2Bus_DBus                  => intr_IP2Bus_Data,
460
      Intr2Bus_WrAck                 => intr_IP2Bus_WrAck,
461
      Intr2Bus_RdAck                 => intr_IP2Bus_RdAck,
462
      Intr2Bus_Error                 => intr_IP2Bus_Error,
463
      Intr2Bus_Retry                 => open,
464
      Intr2Bus_ToutSup               => open
465
    );
466
 
467
  -- feed registered and level-pass-through interrupts into Device ISC if exists, otherwise ignored
468
  intr_IPIF_Reg_Interrupts(0) <= '0';
469
  intr_IPIF_Reg_Interrupts(1) <= '0';
470
  intr_IPIF_Lvl_Interrupts(0) <= '0';
471
  intr_IPIF_Lvl_Interrupts(1) <= '0';
472
  intr_IPIF_Lvl_Interrupts(2) <= '0';
473
  intr_IPIF_Lvl_Interrupts(3) <= '0';
474
 
475
  ------------------------------------------
476
  -- instantiate User Logic
477
  ------------------------------------------
478
  USER_LOGIC_I : entity uart_plb_v1_00_a.user_logic
479
    generic map
480
    (
481
      -- MAP USER GENERICS BELOW THIS LINE ---------------
482
      --USER generics mapped here
483
      -- MAP USER GENERICS ABOVE THIS LINE ---------------
484
      C_SLV_DWIDTH                   => USER_SLV_DWIDTH,
485
      C_NUM_REG                      => USER_NUM_REG,
486
      C_NUM_INTR                     => USER_NUM_INTR
487
    )
488
    port map
489
    (
490
      -- MAP USER PORTS BELOW THIS LINE ------------------
491
      --USER ports mapped here
492
      tx_sout                        => tx_sout,
493
      rx_sin                         => rx_sin,
494
      -- MAP USER PORTS ABOVE THIS LINE ------------------
495
      Bus2IP_Clk                     => ipif_Bus2IP_Clk,
496
      Bus2IP_Reset                   => ipif_Bus2IP_Reset,
497
      Bus2IP_Data                    => ipif_Bus2IP_Data,
498
      Bus2IP_BE                      => ipif_Bus2IP_BE,
499
      Bus2IP_RdCE                    => user_Bus2IP_RdCE,
500
      Bus2IP_WrCE                    => user_Bus2IP_WrCE,
501
      IP2Bus_Data                    => user_IP2Bus_Data,
502
      IP2Bus_RdAck                   => user_IP2Bus_RdAck,
503
      IP2Bus_WrAck                   => user_IP2Bus_WrAck,
504
      IP2Bus_Error                   => user_IP2Bus_Error,
505
      IP2Bus_IntrEvent               => user_IP2Bus_IntrEvent
506
    );
507
 
508
  ------------------------------------------
509
  -- connect internal signals
510
  ------------------------------------------
511
  IP2BUS_DATA_MUX_PROC : process( ipif_Bus2IP_CS, user_IP2Bus_Data, intr_IP2Bus_Data ) is
512
  begin
513
 
514
    case ipif_Bus2IP_CS is
515
      when "10" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
516
      when "01" => ipif_IP2Bus_Data <= intr_IP2Bus_Data;
517
      when others => ipif_IP2Bus_Data <= (others => '0');
518
    end case;
519
 
520
  end process IP2BUS_DATA_MUX_PROC;
521
 
522
  ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck or intr_IP2Bus_WrAck;
523
  ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck or intr_IP2Bus_RdAck;
524
  ipif_IP2Bus_Error <= user_IP2Bus_Error or intr_IP2Bus_Error;
525
 
526
  user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
527
  user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
528
 
529
end IMP;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.