OpenCores
URL https://opencores.org/ocsvn/usb2uart/usb2uart/trunk

Subversion Repositories usb2uart

[/] [usb2uart/] [trunk/] [rtl/] [lib/] [generic_tpram.v] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 dinesha
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Two-Port Synchronous RAM                            ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common two-port                ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  two-port synchronous RAM.                                   ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Double-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage 2-port Sync RAM                                    ////
22
////                                                              ////
23
////  Supported FPGA RAMs are:                                    ////
24
////  - Xilinx Virtex RAMB4_S16_S16                               ////
25
////                                                              ////
26
////  To Do:                                                      ////
27
////   - fix Avant!                                               ////
28
////   - xilinx rams need external tri-state logic                ////
29
////   - add additional RAMs (Altera, VS etc)                     ////
30
////                                                              ////
31
////  Author(s):                                                  ////
32
////      - Damjan Lampret, lampret@opencores.org                 ////
33
////                                                              ////
34
//////////////////////////////////////////////////////////////////////
35
////                                                              ////
36
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
37
////                                                              ////
38
//// This source file may be used and distributed without         ////
39
//// restriction provided that this copyright statement is not    ////
40
//// removed from the file and that any derivative work contains  ////
41
//// the original copyright notice and the associated disclaimer. ////
42
////                                                              ////
43
//// This source file is free software; you can redistribute it   ////
44
//// and/or modify it under the terms of the GNU Lesser General   ////
45
//// Public License as published by the Free Software Foundation; ////
46
//// either version 2.1 of the License, or (at your option) any   ////
47
//// later version.                                               ////
48
////                                                              ////
49
//// This source is distributed in the hope that it will be       ////
50
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
51
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
52
//// PURPOSE.  See the GNU Lesser General Public License for more ////
53
//// details.                                                     ////
54
////                                                              ////
55
//// You should have received a copy of the GNU Lesser General    ////
56
//// Public License along with this source; if not, download it   ////
57
//// from http://www.opencores.org/lgpl.shtml                     ////
58
////                                                              ////
59
//////////////////////////////////////////////////////////////////////
60
//
61
// CVS Revision History
62
//
63
// $Log: not supported by cvs2svn $
64
// Revision 1.1  2001/11/07 18:10:21  samg
65
// added checks and task in behavioral section
66
//
67
// Revision 1.7  2001/10/21 17:57:16  lampret
68
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
69
//
70
// Revision 1.6  2001/10/14 13:12:09  lampret
71
// MP3 version.
72
//
73
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
74
// no message
75
//
76
// Revision 1.1  2001/08/09 13:39:33  lampret
77
// Major clean-up.
78
//
79
// Revision 1.2  2001/07/30 05:38:02  lampret
80
// Adding empty directories required by HDL coding guidelines
81
//
82
//
83
 
84
// synopsys translate_off
85
//`include "timescale.v"
86
// synopsys translate_on
87
//`include "defines.v"
88
 
89
module generic_tpram(
90
        // Generic synchronous two-port RAM interface
91
        clk_a, rst_a, ce_a, we_a, oe_a, addr_a, di_a, do_a,
92
        clk_b, rst_b, ce_b, we_b, oe_b, addr_b, di_b, do_b
93
);
94
 
95
//
96
// Default address and data buses width
97
//
98
parameter aw = 5;
99
parameter dw = 32;
100
parameter MEM_SIZE = (1<<aw);
101
 
102
//
103
// Generic synchronous two-port RAM interface
104
//
105
input                   clk_a;  // Clock
106
input                   rst_a;  // Reset
107
input                   ce_a;   // Chip enable input
108
input                   we_a;   // Write enable input
109
input                   oe_a;   // Output enable input
110
input   [aw-1:0] addr_a; // address bus inputs
111
input   [dw-1:0] di_a;   // input data bus
112
output  [dw-1:0] do_a;   // output data bus
113
input                   clk_b;  // Clock
114
input                   rst_b;  // Reset
115
input                   ce_b;   // Chip enable input
116
input                   we_b;   // Write enable input
117
input                   oe_b;   // Output enable input
118
input   [aw-1:0] addr_b; // address bus inputs
119
input   [dw-1:0] di_b;   // input data bus
120
output  [dw-1:0] do_b;   // output data bus
121
 
122
//
123
// Internal wires and registers
124
//
125
 
126
 
127
`ifdef ARTISAN_SDP
128
 
129
//
130
// Instantiation of ASIC memory:
131
//
132
// Artisan Synchronous Double-Port RAM (ra2sh)
133
//
134
`ifdef UNUSED
135
art_hsdp_32x32 #(dw, 1<<aw, aw) artisan_sdp(
136
`else
137
art_hsdp_32x32 artisan_sdp(
138
`endif
139
        .qa(do_a),
140
        .clka(clk_a),
141
        .cena(~ce_a),
142
        .wena(~we_a),
143
        .aa(addr_a),
144
        .da(di_a),
145
        .oena(~oe_a),
146
        .qb(do_b),
147
        .clkb(clk_b),
148
        .cenb(~ce_b),
149
        .wenb(~we_b),
150
        .ab(addr_b),
151
        .db(di_b),
152
        .oenb(~oe_b)
153
);
154
 
155
`else
156
 
157
`ifdef AVANT_ATP
158
 
159
//
160
// Instantiation of ASIC memory:
161
//
162
// Avant! Asynchronous Two-Port RAM
163
//
164
avant_atp avant_atp(
165
        .web(~we),
166
        .reb(),
167
        .oeb(~oe),
168
        .rcsb(),
169
        .wcsb(),
170
        .ra(addr),
171
        .wa(addr),
172
        .di(di),
173
        .do(do)
174
);
175
 
176
`else
177
 
178
`ifdef VIRAGE_STP
179
 
180
//
181
// Instantiation of ASIC memory:
182
//
183
// Virage Synchronous 2-port R/W RAM
184
//
185
virage_stp virage_stp(
186
        .QA(do_a),
187
        .QB(do_b),
188
 
189
        .ADRA(addr_a),
190
        .DA(di_a),
191
        .WEA(we_a),
192
        .OEA(oe_a),
193
        .MEA(ce_a),
194
        .CLKA(clk_a),
195
 
196
        .ADRB(adr_b),
197
        .DB(di_b),
198
        .WEB(we_b),
199
        .OEB(oe_b),
200
        .MEB(ce_b),
201
        .CLKB(clk_b)
202
);
203
 
204
`else
205
 
206
`ifdef XILINX_RAMB4
207
 
208
//
209
// Instantiation of FPGA memory:
210
//
211
// Virtex/Spartan2
212
//
213
 
214
//
215
// Block 0
216
//
217
RAMB4_S16_S16 ramb4_s16_s16_0(
218
        .CLKA(clk_a),
219
        .RSTA(rst_a),
220
        .ADDRA(addr_a),
221
        .DIA(di_a[15:0]),
222
        .ENA(ce_a),
223
        .WEA(we_a),
224
        .DOA(do_a[15:0]),
225
 
226
        .CLKB(clk_b),
227
        .RSTB(rst_b),
228
        .ADDRB(addr_b),
229
        .DIB(di_b[15:0]),
230
        .ENB(ce_b),
231
        .WEB(we_b),
232
        .DOB(do_b[15:0])
233
);
234
 
235
//
236
// Block 1
237
//
238
RAMB4_S16_S16 ramb4_s16_s16_1(
239
        .CLKA(clk_a),
240
        .RSTA(rst_a),
241
        .ADDRA(addr_a),
242
        .DIA(di_a[31:16]),
243
        .ENA(ce_a),
244
        .WEA(we_a),
245
        .DOA(do_a[31:16]),
246
 
247
        .CLKB(clk_b),
248
        .RSTB(rst_b),
249
        .ADDRB(addr_b),
250
        .DIB(di_b[31:16]),
251
        .ENB(ce_b),
252
        .WEB(we_b),
253
        .DOB(do_b[31:16])
254
);
255
 
256
`else
257
 
258
//
259
// Generic two-port synchronous RAM model
260
//
261
 
262
//
263
// Generic RAM's registers and wires
264
//
265
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
266
wire    [dw-1:0] do_reg_a;               // RAM data output register
267
wire    [dw-1:0] do_reg_b;               // RAM data output register
268
reg     [dw-1:0] do_wc_reg_a;            // RAM data output register (write check)
269
reg     [dw-1:0] do_wc_reg_b;            // RAM data output register (write check)
270
 
271
//
272
// Data output drivers
273
//
274
// Output only valid when output enabled and chip enbled
275
assign do_a = (oe_a & ce_a) ? do_reg_a : {dw{1'bz}};
276
assign do_b = (oe_b & ce_b) ? do_reg_b : {dw{1'bz}};
277
 
278
// Output is invalid while writing data 
279
assign do_reg_a = (we_a) ? {dw{1'b x}} : do_wc_reg_a;
280
assign do_reg_b = (we_b) ? {dw{1'b x}} : do_wc_reg_b;
281
 
282
//
283
// RAM read and write
284
//
285
always @(posedge clk_a)
286
        if (ce_a && !we_a)
287
                do_wc_reg_a <= #1 (we_b && (addr_a==addr_b)) ? {dw{1'b x}} : mem[addr_a];
288
        else if (ce_a && we_a)
289
                mem[addr_a] <= #1 di_a;
290
 
291
//
292
// RAM read and write
293
//
294
always @(posedge clk_b)
295
        if (ce_b && !we_b)
296
                do_wc_reg_b <= #1 (we_a && (addr_a==addr_b)) ? {dw{1'b x}} : mem[addr_b];
297
        else if (ce_b && we_b)
298
                mem[addr_b] <= #1 di_b;
299
 
300
// Task prints range of memory
301
// *** Remember that tasks are non reentrant, don't call this task in parallel for multiple instantiations. 
302
task print_ram;
303
input [aw-1:0] start;
304
input [aw-1:0] finish;
305
integer rnum;
306
  begin
307
    for (rnum=start;rnum<=finish;rnum=rnum+1)
308
      $display("Addr %h = %h",rnum,mem[rnum]);
309
  end
310
endtask
311
 
312
`endif  // !XILINX_RAMB4_S16_S16
313
`endif  // !VIRAGE_STP
314
`endif  // !AVANT_ATP
315
`endif  // !ARTISAN_SDP
316
 
317
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.