OpenCores
URL https://opencores.org/ocsvn/usb2uart/usb2uart/trunk

Subversion Repositories usb2uart

[/] [usb2uart/] [trunk/] [verify/] [log/] [run.log] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 dinesha
Reading D:/Microsemi/Libero_v10.1/Model/tcl/vsim/pref.tcl
2
 
3
# 10.1b
4
 
5
# vsim -do modelsim.do -c tb
6
# //  ModelSim ACTEL 10.1b Apr 27 2012
7
# //
8
# //  Copyright 1991-2012 Mentor Graphics Corporation
9
# //  All Rights Reserved.
10
# //
11
# //  THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION
12
# //  WHICH IS THE PROPERTY OF MENTOR GRAPHICS CORPORATION OR ITS
13
# //  LICENSORS AND IS SUBJECT TO LICENSE TERMS.
14
# //
15
# Loading work.tb
16
# Loading work.core
17
# Loading work.usb_phy
18
# Loading work.usb_tx_phy
19
# Loading work.usb_rx_phy
20
# Loading work.usb1_core
21
# Loading work.usb1_utmi_if
22
# Loading work.usb1_pl
23
# Loading work.usb1_pd
24
# Loading work.usb1_crc5
25
# Loading work.usb1_crc16
26
# Loading work.usb1_pa
27
# Loading work.usb1_idma
28
# Loading work.usb1_fifo2
29
# Loading work.usb1_pe
30
# Loading work.usb1_ctrl
31
# Loading work.usb1_rom1
32
# Loading work.sync_fifo
33
# Loading work.generic_fifo_sc_a
34
# Loading work.generic_dpram
35
# Loading work.uart_core
36
# Loading work.uart_cfg
37
# Loading work.generic_register
38
# Loading work.stat_register
39
# Loading work.clk_ctl
40
# Loading work.uart_txfsm
41
# Loading work.uart_rxfsm
42
# Loading work.async_fifo
43
# Loading work.double_sync_low
44
# Loading work.usb_agent
45
# Loading work.host_usb_bfm
46
# Loading work.usb_bfm_encoder
47
# Loading work.usb_bfm_decoder
48
# Loading work.usb_bfm_dpll
49
# Loading work.usb_bfm_clk_switch
50
# Loading work.usb_bfm_nrzi2nrz
51
# Loading work.usb_bfm_ph_detect
52
# Loading work.usb_bfm_pulse_puller
53
# Loading work.uart_agent
54
# Loading work.test_control
55
# Loading work.bit_register
56
# ** Warning: (vsim-3017) ../tb/tb.v(211): [TFMPC] - Too few port connections. Expected 85, found 84.
57
#
58
#         Region: /tb/dut
59
# ** Warning: (vsim-3015) ../tb/tb.v(211): [PCDPC] - Port size (8 or 8) does not match connection size (1) for port 'ep1_din'. The port definition is at: ../../rtl/core/core.v(84).
60
#
61
#         Region: /tb/dut
62
# ** Warning: (vsim-3015) ../tb/tb.v(211): [PCDPC] - Port size (8 or 8) does not match connection size (1) for port 'ep2_dout'. The port definition is at: ../../rtl/core/core.v(97).
63
#
64
#         Region: /tb/dut
65
# ** Warning: (vsim-3015) ../tb/tb.v(211): [PCDPC] - Port size (8 or 8) does not match connection size (1) for port 'ep3_din'. The port definition is at: ../../rtl/core/core.v(104).
66
#
67
#         Region: /tb/dut
68
# ** Warning: (vsim-3015) ../tb/tb.v(211): [PCDPC] - Port size (8 or 8) does not match connection size (1) for port 'ep4_dout'. The port definition is at: ../../rtl/core/core.v(117).
69
#
70
#         Region: /tb/dut
71
# ** Warning: (vsim-3015) ../tb/tb.v(211): [PCDPC] - Port size (8 or 8) does not match connection size (1) for port 'ep5_din'. The port definition is at: ../../rtl/core/core.v(124).
72
#
73
#         Region: /tb/dut
74
# ** Warning: (vsim-3722) ../tb/tb.v(211): [TFMPC] - Missing connection for port 'usb_rst'.
75
#
76
# ** Warning: (vsim-3017) ../../rtl/uart_core/uart_core.v(236): [TFMPC] - Too few port connections. Expected 14, found 12.
77
#
78
#         Region: /tb/dut/u_uart_core/u_rxfifo
79
# ** Warning: (vsim-3722) ../../rtl/uart_core/uart_core.v(236): [TFMPC] - Missing connection for port 'afull'.
80
#
81
# ** Warning: (vsim-3722) ../../rtl/uart_core/uart_core.v(236): [TFMPC] - Missing connection for port 'aempty'.
82
#
83
# ** Warning: (vsim-3017) ../../rtl/uart_core/uart_core.v(252): [TFMPC] - Too few port connections. Expected 14, found 12.
84
#
85
#         Region: /tb/dut/u_uart_core/u_txfifo
86
# ** Warning: (vsim-3722) ../../rtl/uart_core/uart_core.v(252): [TFMPC] - Missing connection for port 'afull'.
87
#
88
# ** Warning: (vsim-3722) ../../rtl/uart_core/uart_core.v(252): [TFMPC] - Missing connection for port 'aempty'.
89
#
90
# do modelsim.do
91
# 1200: USB Reset  -----
92
# 5418: Set Address = 1 -----
93
# CntrlTransType = 11
94
# In  --> In task wait for response at time 17346000
95
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 17651000
96
# In  --> Decoder enabled at time 18362000 in host
97
# In  --> StopTime = x, SE0StartTime = x
98
# In  --> receive data = d2
99
# In  --> StopTime = x, SE0StartTime = x
100
# In  --> EOP asserted for 2 bit time at time 19163000
101
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
102
#
103
#     #######################################################
104
#     Received Status is ACK at 19163
105
#     #######################################################
106
#
107
# Input Address:00, EndPt:0
108
# i :          1, CntrlTransType:3; CntrlTransAddr:00;CntrlTransEndP:0
109
# In  CntrlTransType = 11, WRITE = 11
110
# In  --> In task wait for response at time 22386000
111
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 22775000
112
# In  --> Decoder enabled at time 23486000 in host
113
# In  --> receive data = 4b
114
# In  --> receive data = 00
115
# In  --> StopTime = x, SE0StartTime = x
116
# In  --> receive data = 00
117
# In  --> StopTime = x, SE0StartTime = x
118
# In  --> EOP asserted for 2 bit time at time 25631000
119
#
120
#     #######################################################
121
#     Received Status is ACK at 27510
122
#     #######################################################
123
#
124
# 32510: Set configuration  -----
125
# CntrlTransType = 11
126
# In  --> In task wait for response at time 44394000
127
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 44699000
128
# In  --> Decoder enabled at time 45412000 in host
129
# In  --> StopTime = x, SE0StartTime = x
130
# In  --> receive data = d2
131
# In  --> StopTime = x, SE0StartTime = x
132
# In  --> EOP asserted for 2 bit time at time 46211000
133
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
134
#
135
#     #######################################################
136
#     Received Status is ACK at 46211
137
#     #######################################################
138
#
139
# Input Address:01, EndPt:0
140
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
141
# In  CntrlTransType = 11, WRITE = 11
142
# In  --> In task wait for response at time 49434000
143
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 49739000
144
# In  --> Decoder enabled at time 50453000 in host
145
# In  --> receive data = 4b
146
# In  --> receive data = 00
147
# In  --> StopTime = x, SE0StartTime = x
148
# In  --> receive data = 00
149
# In  --> StopTime = x, SE0StartTime = x
150
# In  --> EOP asserted for 2 bit time at time 52595000
151
#
152
#     #######################################################
153
#     Received Status is ACK at 54474
154
#     #######################################################
155
#
156
# 56474: Configuration done !!!!!!
157
# CntrlTransType = 11
158
# In  --> In task wait for response at time 68334000
159
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 68639000
160
# In  --> Decoder enabled at time 69334000 in host
161
# In  --> StopTime = x, SE0StartTime = x
162
# In  --> receive data = d2
163
# In  --> StopTime = x, SE0StartTime = x
164
# In  --> EOP asserted for 2 bit time at time 70130000
165
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
166
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
167
# In  --> DataToggle is 1
168
# In  --> DataToggle is 4b at time 73374000.
169
# In  --> sending byte[1] = 00000000
170
# In  --> sending byte[2] = 00000000
171
# In  --> sending byte[3] = 00000000
172
# In  --> sending byte[4] = 00010111
173
# In  --> raw crc is 254 at time               73374
174
# In  --> sent crc is bfd5 at time               73374
175
# In  --> In task wait for response at time 79422000
176
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 79706000
177
# In  --> Decoder enabled at time 80441000 in host
178
# In  --> StopTime = x, SE0StartTime = x
179
# In  --> receive data = d2
180
# In  --> StopTime = x, SE0StartTime = x
181
# In  --> EOP asserted for 2 bit time at time 81239000
182
# In  --> bits received are 7
183
# In  --> ACK received at time 81239000.
184
# Input Address:01, EndPt:0
185
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
186
# In  CntrlTransType = 11, WRITE = 11
187
# In  --> In task wait for response at time 84462000
188
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 84851000
189
# In  --> Decoder enabled at time 85550000 in host
190
# In  --> receive data = 4b
191
# In  --> receive data = 00
192
# In  --> StopTime = x, SE0StartTime = x
193
# In  --> receive data = 00
194
# In  --> StopTime = x, SE0StartTime = x
195
# In  --> EOP asserted for 2 bit time at time 87686000
196
# CntrlTransType = 11
197
# In  --> In task wait for response at time 101514000
198
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 101798000
199
# In  --> Decoder enabled at time 102532000 in host
200
# In  --> StopTime = x, SE0StartTime = x
201
# In  --> receive data = d2
202
# In  --> StopTime = x, SE0StartTime = x
203
# In  --> EOP asserted for 2 bit time at time 103331000
204
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
205
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
206
# In  --> DataToggle is 1
207
# In  --> DataToggle is 4b at time 106554000.
208
# In  --> sending byte[1] = 00000000
209
# In  --> sending byte[2] = 00000000
210
# In  --> sending byte[3] = 00000000
211
# In  --> sending byte[4] = 00001110
212
# In  --> raw crc is 8107 at time              106554
213
# In  --> sent crc is 7e1f at time              106554
214
# In  --> In task wait for response at time 112602000
215
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 112907000
216
# In  --> Decoder enabled at time 113619000 in host
217
# In  --> StopTime = x, SE0StartTime = x
218
# In  --> receive data = d2
219
# In  --> StopTime = x, SE0StartTime = x
220
# In  --> EOP asserted for 2 bit time at time 114419000
221
# In  --> bits received are 7
222
# In  --> ACK received at time 114419000.
223
# Input Address:01, EndPt:0
224
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
225
# In  CntrlTransType = 11, WRITE = 11
226
# In  --> In task wait for response at time 117642000
227
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 118031000
228
# In  --> Decoder enabled at time 118748000 in host
229
# In  --> receive data = 4b
230
# In  --> receive data = 00
231
# In  --> StopTime = x, SE0StartTime = x
232
# In  --> receive data = 00
233
# In  --> StopTime = x, SE0StartTime = x
234
# In  --> EOP asserted for 2 bit time at time 120887000
235
#
236
# ... Writing char  36 ...
237
#
238
# ... Reading the UART Status: xxxxxxxX ...
239
# CntrlTransType = 10
240
# In  --> In task wait for response at time 134694000
241
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 134999000
242
# In  --> Decoder enabled at time 135712000 in host
243
# In  --> StopTime = x, SE0StartTime = x
244
# In  --> receive data = d2
245
# In  --> StopTime = x, SE0StartTime = x
246
# In  --> EOP asserted for 2 bit time at time 136511000
247
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
248
# CntrlTransType = 10
249
# In  --> In task wait for response at time 139650000
250
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 139955000
251
# In  --> Decoder enabled at time 140669000 in host
252
# In  --> receive data = 4b
253
# In  --> receive data = 00
254
# In  --> receive data = 00
255
# In  --> receive data = 00
256
# In  --> receive data = 02
257
# In  --> receive data = 7e
258
# In  --> StopTime = x, SE0StartTime = x
259
# In  --> receive data = 1a
260
# In  --> StopTime = x, SE0StartTime = x
261
# In  --> EOP asserted for 2 bit time at time 145562000
262
# In  --> Data toggle recevied is 1001011 at time 145646000
263
# In  --> received byte[1] = 00000000
264
# In  --> received byte[2] = 00000000
265
# In  --> received byte[3] = 00000000
266
# In  --> received byte[4] = 00000010
267
# In  --> calculated crc is 81a7 at time 145646000.
268
# In  --> received raw crc is 81a7 at time 145646000.
269
# In  --> received crc is 7e1a at time 145646000.
270
# In  --> tmpCrc 7e1a, at time 145646000
271
# In  --> sending ACK at time 147546000
272
# RecvBuffer[0]  = 00000000  : 0
273
# RecvBuffer[1]  = 00000000  : 0
274
# RecvBuffer[2]  = 00000000  : 0
275
# RecvBuffer[3]  = 00000010  : 2
276
# In  --> In task wait for response at time 154098000
277
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 154382000
278
# In  --> Decoder enabled at time 155114000 in host
279
# In  --> StopTime = x, SE0StartTime = x
280
# In  --> receive data = d2
281
# In  --> StopTime = x, SE0StartTime = x
282
# In  --> EOP asserted for 2 bit time at time 155915000
283
#
284
# ... Reading the UART Status: 00000002 ...
285
# CntrlTransType = 10
286
# In  --> In task wait for response at time 167790000
287
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 168095000
288
# In  --> Decoder enabled at time 168803000 in host
289
# In  --> StopTime = x, SE0StartTime = x
290
# In  --> receive data = d2
291
# In  --> StopTime = x, SE0StartTime = x
292
# In  --> EOP asserted for 2 bit time at time 169607000
293
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
294
# CntrlTransType = 10
295
# In  --> In task wait for response at time 172746000
296
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 173051000
297
# In  --> Decoder enabled at time 173763000 in host
298
# In  --> receive data = 4b
299
# In  --> receive data = 00
300
# In  --> receive data = 00
301
# In  --> receive data = 00
302
# In  --> receive data = 02
303
# In  --> receive data = 7e
304
# In  --> StopTime = x, SE0StartTime = x
305
# In  --> receive data = 1a
306
# In  --> StopTime = x, SE0StartTime = x
307
# In  --> EOP asserted for 2 bit time at time 178658000
308
# In  --> Data toggle recevied is 1001011 at time 178742000
309
# In  --> received byte[1] = 00000000
310
# In  --> received byte[2] = 00000000
311
# In  --> received byte[3] = 00000000
312
# In  --> received byte[4] = 00000010
313
# In  --> calculated crc is 81a7 at time 178742000.
314
# In  --> received raw crc is 81a7 at time 178742000.
315
# In  --> received crc is 7e1a at time 178742000.
316
# In  --> tmpCrc 7e1a, at time 178742000
317
# In  --> sending ACK at time 180642000
318
# RecvBuffer[0]  = 00000000  : 0
319
# RecvBuffer[1]  = 00000000  : 0
320
# RecvBuffer[2]  = 00000000  : 0
321
# RecvBuffer[3]  = 00000010  : 2
322
# ... Write data 24 to UART done cnt :          1 ...
323
#
324
#
325
# ... Writing char 129 ...
326
# In  --> In task wait for response at time 187194000
327
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 187478000
328
# In  --> Decoder enabled at time 188208000 in host
329
# In  --> StopTime = x, SE0StartTime = x
330
# In  --> receive data = d2
331
# In  --> StopTime = x, SE0StartTime = x
332
# In  --> EOP asserted for 2 bit time at time 188990000
333
#
334
# ... Reading the UART Status: 00000002 ...
335
# CntrlTransType = 10
336
# In  --> In task wait for response at time 200886000
337
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 201170000
338
# In  --> Decoder enabled at time 201897000 in host
339
# In  --> StopTime = x, SE0StartTime = x
340
# In  --> receive data = d2
341
# In  --> StopTime = x, SE0StartTime = x
342
# In  --> EOP asserted for 2 bit time at time 202682000
343
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
344
# CntrlTransType = 10
345
# In  --> In task wait for response at time 205842000
346
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 206210000
347
# In  --> Decoder enabled at time 206943000 in host
348
# In  --> receive data = 4b
349
# In  --> receive data = 00
350
# In  --> receive data = 00
351
# In  --> receive data = 00
352
# In  --> receive data = 00
353
# In  --> receive data = ff
354
# In  --> StopTime = x, SE0StartTime = x
355
# In  --> receive data = db
356
# In  --> StopTime = x, SE0StartTime = x
357
# In  --> EOP asserted for 2 bit time at time 211838000
358
# In  --> Data toggle recevied is 1001011 at time 211922000
359
# In  --> received byte[1] = 00000000
360
# In  --> received byte[2] = 00000000
361
# In  --> received byte[3] = 00000000
362
# In  --> received byte[4] = 00000000
363
# In  --> calculated crc is 24 at time 211922000.
364
# In  --> received raw crc is 24 at time 211922000.
365
# In  --> received crc is ffdb at time 211922000.
366
# In  --> tmpCrc ffdb, at time 211922000
367
# In  --> sending ACK at time 213822000
368
# RecvBuffer[0]  = 00000000  : 0
369
# RecvBuffer[1]  = 00000000  : 0
370
# RecvBuffer[2]  = 00000000  : 0
371
# RecvBuffer[3]  = 00000000  : 0
372
# In  --> In task wait for response at time 220374000
373
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 220658000
374
# In  --> Decoder enabled at time 221385000 in host
375
# In  --> StopTime = x, SE0StartTime = x
376
# In  --> receive data = d2
377
# In  --> StopTime = x, SE0StartTime = x
378
# In  --> EOP asserted for 2 bit time at time 222170000
379
# CntrlTransType = 10
380
# In  --> In task wait for response at time 234066000
381
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 234350000
382
# In  --> Decoder enabled at time 235077000 in host
383
# In  --> StopTime = x, SE0StartTime = x
384
# In  --> receive data = d2
385
# In  --> StopTime = x, SE0StartTime = x
386
# In  --> EOP asserted for 2 bit time at time 235862000
387
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
388
# CntrlTransType = 10
389
# In  --> In task wait for response at time 239022000
390
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 239390000
391
# In  --> Decoder enabled at time 240117000 in host
392
# In  --> receive data = 4b
393
# In  --> receive data = 00
394
# In  --> receive data = 00
395
# In  --> receive data = 00
396
# In  --> receive data = 24
397
# In  --> receive data = ff
398
# In  --> StopTime = x, SE0StartTime = x
399
# In  --> receive data = c0
400
# In  --> StopTime = x, SE0StartTime = x
401
# In  --> EOP asserted for 2 bit time at time 244997000
402
# In  --> Data toggle recevied is 1001011 at time 245081000
403
# In  --> received byte[1] = 00000000
404
# In  --> received byte[2] = 00000000
405
# In  --> received byte[3] = 00000000
406
# In  --> received byte[4] = 00100100
407
# In  --> calculated crc is fc at time 245081000.
408
# In  --> received raw crc is fc at time 245081000.
409
# In  --> received crc is ffc0 at time 245081000.
410
# In  --> tmpCrc ffc0, at time 245081000
411
# ... Write data 81 to UART done cnt :          2 ...
412
#
413
#
414
# ... Writing char   9 ...
415
# In  --> sending ACK at time 247002000
416
# RecvBuffer[0]  = 00000000  : 0
417
# RecvBuffer[1]  = 00000000  : 0
418
# RecvBuffer[2]  = 00000000  : 0
419
# RecvBuffer[3]  = 00100100  : 36
420
# In  --> In task wait for response at time 253554000
421
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 253859000
422
# In  --> Decoder enabled at time 254560000 in host
423
# In  --> StopTime = x, SE0StartTime = x
424
# In  --> receive data = d2
425
# In  --> StopTime = x, SE0StartTime = x
426
# In  --> EOP asserted for 2 bit time at time 255371000
427
# CntrlTransType = 11
428
# In  --> In task wait for response at time 267246000
429
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 267551000
430
# In  --> Decoder enabled at time 268251000 in host
431
# In  --> StopTime = x, SE0StartTime = x
432
# In  --> receive data = d2
433
# In  --> StopTime = x, SE0StartTime = x
434
# In  --> EOP asserted for 2 bit time at time 269063000
435
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
436
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
437
# In  --> DataToggle is 1
438
# In  --> DataToggle is 4b at time 272286000.
439
# In  --> sending byte[1] = 00000000
440
# In  --> sending byte[2] = 00000000
441
# In  --> sending byte[3] = 00000000
442
# In  --> sending byte[4] = 00100100
443
# In  --> raw crc is fc at time              272286
444
# In  --> sent crc is ffc0 at time              272286
445
# In  --> In task wait for response at time 278334000
446
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 278639000
447
# In  --> Decoder enabled at time 279341000 in host
448
# In  --> StopTime = x, SE0StartTime = x
449
# In  --> receive data = d2
450
# In  --> StopTime = x, SE0StartTime = x
451
# In  --> EOP asserted for 2 bit time at time 280151000
452
# In  --> bits received are 7
453
# In  --> ACK received at time 280151000.
454
# Input Address:01, EndPt:0
455
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
456
# In  CntrlTransType = 11, WRITE = 11
457
# In  --> In task wait for response at time 283374000
458
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 283763000
459
# In  --> Decoder enabled at time 284465000 in host
460
# In  --> receive data = 4b
461
# In  --> receive data = 00
462
# In  --> StopTime = x, SE0StartTime = x
463
# In  --> receive data = 00
464
# In  --> StopTime = x, SE0StartTime = x
465
# In  --> EOP asserted for 2 bit time at time 286598000
466
#
467
# ... Reading the UART Status: 00000026 ...
468
# CntrlTransType = 10
469
# In  --> In task wait for response at time 300426000
470
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 300710000
471
# In  --> Decoder enabled at time 301429000 in host
472
# In  --> StopTime = x, SE0StartTime = x
473
# In  --> receive data = d2
474
# In  --> StopTime = x, SE0StartTime = x
475
# In  --> EOP asserted for 2 bit time at time 302222000
476
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
477
# CntrlTransType = 10
478
# In  --> In task wait for response at time 305382000
479
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 305750000
480
# In  --> Decoder enabled at time 306475000 in host
481
# In  --> receive data = 4b
482
# In  --> receive data = 00
483
# In  --> receive data = 00
484
# In  --> receive data = 00
485
# ... Write data 09 to UART done cnt :          3 ...
486
#
487
#
488
# ... Writing char  99 ...
489
# In  --> receive data = 00
490
# In  --> receive data = ff
491
# In  --> StopTime = x, SE0StartTime = x
492
# In  --> receive data = db
493
# In  --> StopTime = x, SE0StartTime = x
494
# In  --> EOP asserted for 2 bit time at time 311357000
495
# In  --> Data toggle recevied is 1001011 at time 311441000
496
# In  --> received byte[1] = 00000000
497
# In  --> received byte[2] = 00000000
498
# In  --> received byte[3] = 00000000
499
# In  --> received byte[4] = 00000000
500
# In  --> calculated crc is 24 at time 311441000.
501
# In  --> received raw crc is 24 at time 311441000.
502
# In  --> received crc is ffdb at time 311441000.
503
# In  --> tmpCrc ffdb, at time 311441000
504
# In  --> sending ACK at time 313362000
505
# RecvBuffer[0]  = 00000000  : 0
506
# RecvBuffer[1]  = 00000000  : 0
507
# RecvBuffer[2]  = 00000000  : 0
508
# RecvBuffer[3]  = 00000000  : 0
509
# In  --> In task wait for response at time 319914000
510
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 320219000
511
# In  --> Decoder enabled at time 320917000 in host
512
# In  --> StopTime = x, SE0StartTime = x
513
# In  --> receive data = d2
514
# In  --> StopTime = x, SE0StartTime = x
515
# In  --> EOP asserted for 2 bit time at time 321710000
516
# CntrlTransType = 10
517
# In  --> In task wait for response at time 333606000
518
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 333890000
519
# In  --> Decoder enabled at time 334609000 in host
520
# In  --> StopTime = x, SE0StartTime = x
521
# In  --> receive data = d2
522
# In  --> StopTime = x, SE0StartTime = x
523
# In  --> EOP asserted for 2 bit time at time 335402000
524
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
525
# CntrlTransType = 10
526
# In  --> In task wait for response at time 338562000
527
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 338930000
528
# In  --> Decoder enabled at time 339652000 in host
529
# In  --> receive data = 4b
530
# In  --> receive data = 00
531
# In  --> receive data = 00
532
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  24
533
# ... Read Data from UART done cnt :         1...
534
# In  --> receive data = 00
535
# In  --> receive data = 81
536
# In  --> receive data = 3f
537
# In  --> StopTime = x, SE0StartTime = x
538
# In  --> receive data = bb
539
# In  --> StopTime = x, SE0StartTime = x
540
# In  --> EOP asserted for 2 bit time at time 344537000
541
# In  --> Data toggle recevied is 1001011 at time 344621000
542
# In  --> received byte[1] = 00000000
543
# In  --> received byte[2] = 00000000
544
# In  --> received byte[3] = 00000000
545
# In  --> received byte[4] = 10000001
546
# In  --> calculated crc is 322 at time 344621000.
547
# In  --> received raw crc is 322 at time 344621000.
548
# In  --> received crc is 3fbb at time 344621000.
549
# In  --> tmpCrc 3fbb, at time 344621000
550
# In  --> sending ACK at time 346542000
551
# RecvBuffer[0]  = 00000000  : 0
552
# RecvBuffer[1]  = 00000000  : 0
553
# RecvBuffer[2]  = 00000000  : 0
554
# RecvBuffer[3]  = 10000001  : 129
555
# In  --> In task wait for response at time 353094000
556
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 353399000
557
# In  --> Decoder enabled at time 354115000 in host
558
# In  --> StopTime = x, SE0StartTime = x
559
# In  --> receive data = d2
560
# In  --> StopTime = x, SE0StartTime = x
561
# In  --> EOP asserted for 2 bit time at time 354911000
562
# CntrlTransType = 11
563
# In  --> In task wait for response at time 366786000
564
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 367091000
565
# In  --> Decoder enabled at time 367807000 in host
566
# In  --> StopTime = x, SE0StartTime = x
567
# In  --> receive data = d2
568
# In  --> StopTime = x, SE0StartTime = x
569
# In  --> EOP asserted for 2 bit time at time 368603000
570
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
571
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
572
# In  --> DataToggle is 1
573
# In  --> DataToggle is 4b at time 371826000.
574
# In  --> sending byte[1] = 00000000
575
# In  --> sending byte[2] = 00000000
576
# In  --> sending byte[3] = 00000000
577
# In  --> sending byte[4] = 10000001
578
# In  --> raw crc is 322 at time              371826
579
# In  --> sent crc is 3fbb at time              371826
580
# ... Write data 63 to UART done cnt :          4 ...
581
#
582
#
583
# ... Writing char  13 ...
584
# In  --> In task wait for response at time 377874000
585
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 378179000
586
# In  --> Decoder enabled at time 378894000 in host
587
# In  --> StopTime = x, SE0StartTime = x
588
# In  --> receive data = d2
589
# In  --> StopTime = x, SE0StartTime = x
590
# In  --> EOP asserted for 2 bit time at time 379691000
591
# In  --> bits received are 7
592
# In  --> ACK received at time 379691000.
593
# Input Address:01, EndPt:0
594
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
595
# In  CntrlTransType = 11, WRITE = 11
596
# In  --> In task wait for response at time 382914000
597
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 383303000
598
# In  --> Decoder enabled at time 384018000 in host
599
# In  --> receive data = 4b
600
# In  --> receive data = 00
601
# In  --> StopTime = x, SE0StartTime = x
602
# In  --> receive data = 00
603
# In  --> StopTime = x, SE0StartTime = x
604
# In  --> EOP asserted for 2 bit time at time 386159000
605
#
606
# ... Reading the UART Status: 00000083 ...
607
# CntrlTransType = 10
608
# In  --> In task wait for response at time 399966000
609
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 400271000
610
# In  --> Decoder enabled at time 400981000 in host
611
# In  --> StopTime = x, SE0StartTime = x
612
# In  --> receive data = d2
613
# In  --> StopTime = x, SE0StartTime = x
614
# In  --> EOP asserted for 2 bit time at time 401783000
615
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
616
# CntrlTransType = 10
617
# In  --> In task wait for response at time 404922000
618
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 405311000
619
# In  --> Decoder enabled at time 406025000 in host
620
# In  --> receive data = 4b
621
# In  --> receive data = 00
622
# In  --> receive data = 00
623
# In  --> receive data = 00
624
# In  --> receive data = 00
625
# In  --> receive data = ff
626
# In  --> StopTime = x, SE0StartTime = x
627
# In  --> receive data = db
628
# In  --> StopTime = x, SE0StartTime = x
629
# In  --> EOP asserted for 2 bit time at time 410918000
630
# In  --> Data toggle recevied is 1001011 at time 411002000
631
# In  --> received byte[1] = 00000000
632
# In  --> received byte[2] = 00000000
633
# In  --> received byte[3] = 00000000
634
# In  --> received byte[4] = 00000000
635
# In  --> calculated crc is 24 at time 411002000.
636
# In  --> received raw crc is 24 at time 411002000.
637
# In  --> received crc is ffdb at time 411002000.
638
# In  --> tmpCrc ffdb, at time 411002000
639
# In  --> sending ACK at time 412902000
640
# RecvBuffer[0]  = 00000000  : 0
641
# RecvBuffer[1]  = 00000000  : 0
642
# RecvBuffer[2]  = 00000000  : 0
643
# RecvBuffer[3]  = 00000000  : 0
644
# In  --> In task wait for response at time 419454000
645
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 419738000
646
# In  --> Decoder enabled at time 420467000 in host
647
# In  --> StopTime = x, SE0StartTime = x
648
# In  --> receive data = d2
649
# In  --> StopTime = x, SE0StartTime = x
650
# In  --> EOP asserted for 2 bit time at time 421271000
651
# CntrlTransType = 10
652
# In  --> In task wait for response at time 433146000
653
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 433451000
654
# In  --> Decoder enabled at time 434159000 in host
655
# In  --> StopTime = x, SE0StartTime = x
656
# In  --> receive data = d2
657
# In  --> StopTime = x, SE0StartTime = x
658
# In  --> EOP asserted for 2 bit time at time 434963000
659
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
660
# CntrlTransType = 10
661
# ... Write data 0d to UART done cnt :          5 ...
662
#
663
#
664
# ... Writing char 141 ...
665
# In  --> In task wait for response at time 438102000
666
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 438491000
667
# In  --> Decoder enabled at time 439202000 in host
668
# In  --> receive data = 4b
669
# In  --> receive data = 00
670
# In  --> receive data = 00
671
# In  --> receive data = 00
672
# In  --> receive data = 09
673
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  81
674
# ... Read Data from UART done cnt :         2...
675
# In  --> receive data = 3f
676
# In  --> StopTime = x, SE0StartTime = x
677
# In  --> receive data = dd
678
# In  --> StopTime = x, SE0StartTime = x
679
# In  --> EOP asserted for 2 bit time at time 444098000
680
# In  --> Data toggle recevied is 1001011 at time 444182000
681
# In  --> received byte[1] = 00000000
682
# In  --> received byte[2] = 00000000
683
# In  --> received byte[3] = 00000000
684
# In  --> received byte[4] = 00001001
685
# In  --> calculated crc is 344 at time 444182000.
686
# In  --> received raw crc is 344 at time 444182000.
687
# In  --> received crc is 3fdd at time 444182000.
688
# In  --> tmpCrc 3fdd, at time 444182000
689
# In  --> sending ACK at time 446082000
690
# RecvBuffer[0]  = 00000000  : 0
691
# RecvBuffer[1]  = 00000000  : 0
692
# RecvBuffer[2]  = 00000000  : 0
693
# RecvBuffer[3]  = 00001001  : 9
694
# In  --> In task wait for response at time 452634000
695
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 452918000
696
# In  --> Decoder enabled at time 453647000 in host
697
# In  --> StopTime = x, SE0StartTime = x
698
# In  --> receive data = d2
699
# In  --> StopTime = x, SE0StartTime = x
700
# In  --> EOP asserted for 2 bit time at time 454430000
701
# CntrlTransType = 11
702
# In  --> In task wait for response at time 466326000
703
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 466610000
704
# In  --> Decoder enabled at time 467336000 in host
705
# In  --> StopTime = x, SE0StartTime = x
706
# In  --> receive data = d2
707
# In  --> StopTime = x, SE0StartTime = x
708
# In  --> EOP asserted for 2 bit time at time 468122000
709
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
710
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
711
# In  --> DataToggle is 1
712
# In  --> DataToggle is 4b at time 471366000.
713
# In  --> sending byte[1] = 00000000
714
# In  --> sending byte[2] = 00000000
715
# In  --> sending byte[3] = 00000000
716
# In  --> sending byte[4] = 00001001
717
# In  --> raw crc is 344 at time              471366
718
# In  --> sent crc is 3fdd at time              471366
719
# In  --> In task wait for response at time 477414000
720
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 477698000
721
# In  --> Decoder enabled at time 478423000 in host
722
# In  --> StopTime = x, SE0StartTime = x
723
# In  --> receive data = d2
724
# In  --> StopTime = x, SE0StartTime = x
725
# In  --> EOP asserted for 2 bit time at time 479210000
726
# In  --> bits received are 7
727
# In  --> ACK received at time 479210000.
728
# Input Address:01, EndPt:0
729
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
730
# In  CntrlTransType = 11, WRITE = 11
731
# In  --> In task wait for response at time 482454000
732
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 482822000
733
# In  --> Decoder enabled at time 483549000 in host
734
# In  --> receive data = 4b
735
# In  --> receive data = 00
736
# In  --> StopTime = x, SE0StartTime = x
737
# In  --> receive data = 00
738
# In  --> StopTime = x, SE0StartTime = x
739
# In  --> EOP asserted for 2 bit time at time 485678000
740
#
741
# ... Reading the UART Status: 0000000b ...
742
# CntrlTransType = 10
743
# In  --> In task wait for response at time 499506000
744
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 499790000
745
# In  --> Decoder enabled at time 500516000 in host
746
# In  --> StopTime = x, SE0StartTime = x
747
# In  --> receive data = d2
748
# In  --> StopTime = x, SE0StartTime = x
749
# In  --> EOP asserted for 2 bit time at time 501302000
750
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
751
# CntrlTransType = 10
752
# ... Write data 8d to UART done cnt :          6 ...
753
#
754
#
755
# ... Writing char 101 ...
756
# In  --> In task wait for response at time 504462000
757
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 504830000
758
# In  --> Decoder enabled at time 505556000 in host
759
# In  --> receive data = 4b
760
# In  --> receive data = 00
761
# In  --> receive data = 00
762
# In  --> receive data = 00
763
# In  --> receive data = 00
764
# In  --> receive data = ff
765
# In  --> StopTime = x, SE0StartTime = x
766
# In  --> receive data = db
767
# In  --> StopTime = x, SE0StartTime = x
768
# In  --> EOP asserted for 2 bit time at time 510437000
769
# In  --> Data toggle recevied is 1001011 at time 510521000
770
# In  --> received byte[1] = 00000000
771
# In  --> received byte[2] = 00000000
772
# In  --> received byte[3] = 00000000
773
# In  --> received byte[4] = 00000000
774
# In  --> calculated crc is 24 at time 510521000.
775
# In  --> received raw crc is 24 at time 510521000.
776
# In  --> received crc is ffdb at time 510521000.
777
# In  --> tmpCrc ffdb, at time 510521000
778
# In  --> sending ACK at time 512442000
779
# RecvBuffer[0]  = 00000000  : 0
780
# RecvBuffer[1]  = 00000000  : 0
781
# RecvBuffer[2]  = 00000000  : 0
782
# RecvBuffer[3]  = 00000000  : 0
783
# In  --> In task wait for response at time 518994000
784
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 519299000
785
# In  --> Decoder enabled at time 519999000 in host
786
# In  --> StopTime = x, SE0StartTime = x
787
# In  --> receive data = d2
788
# In  --> StopTime = x, SE0StartTime = x
789
# In  --> EOP asserted for 2 bit time at time 520811000
790
# CntrlTransType = 10
791
# In  --> In task wait for response at time 532686000
792
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 532991000
793
# In  --> Decoder enabled at time 533690000 in host
794
# In  --> StopTime = x, SE0StartTime = x
795
# In  --> receive data = d2
796
# In  --> StopTime = x, SE0StartTime = x
797
# In  --> EOP asserted for 2 bit time at time 534503000
798
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
799
# CntrlTransType = 10
800
# In  --> In task wait for response at time 537642000
801
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 538031000
802
# In  --> Decoder enabled at time 538734000 in host
803
# In  --> receive data = 4b
804
# In  --> receive data = 00
805
# In  --> receive data = 00
806
# In  --> receive data = 00
807
# In  --> receive data = 63
808
# In  --> receive data = bf
809
# In  --> StopTime = x, SE0StartTime = x
810
# In  --> receive data = f2
811
# In  --> StopTime = x, SE0StartTime = x
812
# In  --> EOP asserted for 2 bit time at time 543617000
813
# In  --> Data toggle recevied is 1001011 at time 543701000
814
# In  --> received byte[1] = 00000000
815
# In  --> received byte[2] = 00000000
816
# In  --> received byte[3] = 00000000
817
# In  --> received byte[4] = 01100011
818
# In  --> calculated crc is 2b0 at time 543701000.
819
# In  --> received raw crc is 2b0 at time 543701000.
820
# In  --> received crc is bff2 at time 543701000.
821
# In  --> tmpCrc bff2, at time 543701000
822
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  09
823
# ... Read Data from UART done cnt :         3...
824
# In  --> sending ACK at time 545622000
825
# RecvBuffer[0]  = 00000000  : 0
826
# RecvBuffer[1]  = 00000000  : 0
827
# RecvBuffer[2]  = 00000000  : 0
828
# RecvBuffer[3]  = 01100011  : 99
829
# In  --> In task wait for response at time 552174000
830
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 552479000
831
# In  --> Decoder enabled at time 553176000 in host
832
# In  --> StopTime = x, SE0StartTime = x
833
# In  --> receive data = d2
834
# In  --> StopTime = x, SE0StartTime = x
835
# In  --> EOP asserted for 2 bit time at time 553970000
836
# ... Write data 65 to UART done cnt :          7 ...
837
#
838
#
839
# ... Writing char  18 ...
840
# CntrlTransType = 11
841
# In  --> In task wait for response at time 565866000
842
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 566150000
843
# In  --> Decoder enabled at time 566868000 in host
844
# In  --> StopTime = x, SE0StartTime = x
845
# In  --> receive data = d2
846
# In  --> StopTime = x, SE0StartTime = x
847
# In  --> EOP asserted for 2 bit time at time 567662000
848
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
849
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
850
# In  --> DataToggle is 1
851
# In  --> DataToggle is 4b at time 570906000.
852
# In  --> sending byte[1] = 00000000
853
# In  --> sending byte[2] = 00000000
854
# In  --> sending byte[3] = 00000000
855
# In  --> sending byte[4] = 01100011
856
# In  --> raw crc is 2b0 at time              570906
857
# In  --> sent crc is bff2 at time              570906
858
# In  --> In task wait for response at time 576954000
859
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 577238000
860
# In  --> Decoder enabled at time 577955000 in host
861
# In  --> StopTime = x, SE0StartTime = x
862
# In  --> receive data = d2
863
# In  --> StopTime = x, SE0StartTime = x
864
# In  --> EOP asserted for 2 bit time at time 578750000
865
# In  --> bits received are 7
866
# In  --> ACK received at time 578750000.
867
# Input Address:01, EndPt:0
868
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
869
# In  CntrlTransType = 11, WRITE = 11
870
# In  --> In task wait for response at time 581994000
871
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 582362000
872
# In  --> Decoder enabled at time 583084000 in host
873
# In  --> receive data = 4b
874
# In  --> receive data = 00
875
# In  --> StopTime = x, SE0StartTime = x
876
# In  --> receive data = 00
877
# In  --> StopTime = x, SE0StartTime = x
878
# In  --> EOP asserted for 2 bit time at time 585218000
879
#
880
# ... Reading the UART Status: 00000063 ...
881
# CntrlTransType = 10
882
# In  --> In task wait for response at time 599046000
883
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 599330000
884
# In  --> Decoder enabled at time 600066000 in host
885
# In  --> StopTime = x, SE0StartTime = x
886
# In  --> receive data = d2
887
# In  --> StopTime = x, SE0StartTime = x
888
# In  --> EOP asserted for 2 bit time at time 600863000
889
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
890
# CntrlTransType = 10
891
# In  --> In task wait for response at time 604002000
892
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 604391000
893
# In  --> Decoder enabled at time 605088000 in host
894
# In  --> receive data = 4b
895
# In  --> receive data = 00
896
# In  --> receive data = 00
897
# In  --> receive data = 00
898
# In  --> receive data = 00
899
# In  --> receive data = ff
900
# In  --> StopTime = x, SE0StartTime = x
901
# In  --> receive data = db
902
# In  --> StopTime = x, SE0StartTime = x
903
# In  --> EOP asserted for 2 bit time at time 609977000
904
# In  --> Data toggle recevied is 1001011 at time 610061000
905
# In  --> received byte[1] = 00000000
906
# In  --> received byte[2] = 00000000
907
# In  --> received byte[3] = 00000000
908
# In  --> received byte[4] = 00000000
909
# In  --> calculated crc is 24 at time 610061000.
910
# In  --> received raw crc is 24 at time 610061000.
911
# In  --> received crc is ffdb at time 610061000.
912
# In  --> tmpCrc ffdb, at time 610061000
913
# In  --> sending ACK at time 611982000
914
# RecvBuffer[0]  = 00000000  : 0
915
# RecvBuffer[1]  = 00000000  : 0
916
# RecvBuffer[2]  = 00000000  : 0
917
# RecvBuffer[3]  = 00000000  : 0
918
# In  --> In task wait for response at time 618534000
919
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 618839000
920
# In  --> Decoder enabled at time 619554000 in host
921
# In  --> StopTime = x, SE0StartTime = x
922
# In  --> receive data = d2
923
# In  --> StopTime = x, SE0StartTime = x
924
# In  --> EOP asserted for 2 bit time at time 620351000
925
# ... Write data 12 to UART done cnt :          8 ...
926
#
927
#
928
# ... Writing char   1 ...
929
# CntrlTransType = 10
930
# In  --> In task wait for response at time 632226000
931
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 632531000
932
# In  --> Decoder enabled at time 633246000 in host
933
# In  --> StopTime = x, SE0StartTime = x
934
# In  --> receive data = d2
935
# In  --> StopTime = x, SE0StartTime = x
936
# In  --> EOP asserted for 2 bit time at time 634043000
937
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
938
# CntrlTransType = 10
939
# In  --> In task wait for response at time 637182000
940
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 637571000
941
# In  --> Decoder enabled at time 638266000 in host
942
# In  --> receive data = 4b
943
# In  --> receive data = 00
944
# In  --> receive data = 00
945
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  63
946
# ... Read Data from UART done cnt :         4...
947
# In  --> receive data = 00
948
# In  --> receive data = 0d
949
# In  --> receive data = 3e
950
# In  --> StopTime = x, SE0StartTime = x
951
# In  --> receive data = 1e
952
# In  --> StopTime = x, SE0StartTime = x
953
# In  --> EOP asserted for 2 bit time at time 643073000
954
# In  --> Data toggle recevied is 1001011 at time 643157000
955
# In  --> received byte[1] = 00000000
956
# In  --> received byte[2] = 00000000
957
# In  --> received byte[3] = 00000000
958
# In  --> received byte[4] = 00001101
959
# In  --> calculated crc is 8387 at time 643157000.
960
# In  --> received raw crc is 8387 at time 643157000.
961
# In  --> received crc is 3e1e at time 643157000.
962
# In  --> tmpCrc 3e1e, at time 643157000
963
# In  --> sending ACK at time 645078000
964
# RecvBuffer[0]  = 00000000  : 0
965
# RecvBuffer[1]  = 00000000  : 0
966
# RecvBuffer[2]  = 00000000  : 0
967
# RecvBuffer[3]  = 00001101  : 13
968
# In  --> In task wait for response at time 651630000
969
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 651935000
970
# In  --> Decoder enabled at time 652648000 in host
971
# In  --> StopTime = x, SE0StartTime = x
972
# In  --> receive data = d2
973
# In  --> StopTime = x, SE0StartTime = x
974
# In  --> EOP asserted for 2 bit time at time 653447000
975
# CntrlTransType = 11
976
# In  --> In task wait for response at time 665322000
977
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 665627000
978
# In  --> Decoder enabled at time 666340000 in host
979
# In  --> StopTime = x, SE0StartTime = x
980
# In  --> receive data = d2
981
# In  --> StopTime = x, SE0StartTime = x
982
# In  --> EOP asserted for 2 bit time at time 667139000
983
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
984
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
985
# In  --> DataToggle is 1
986
# In  --> DataToggle is 4b at time 670362000.
987
# In  --> sending byte[1] = 00000000
988
# In  --> sending byte[2] = 00000000
989
# In  --> sending byte[3] = 00000000
990
# In  --> sending byte[4] = 00001101
991
# In  --> raw crc is 8387 at time              670362
992
# In  --> sent crc is 3e1e at time              670362
993
# In  --> In task wait for response at time 676326000
994
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 676631000
995
# In  --> Decoder enabled at time 677341000 in host
996
# In  --> StopTime = x, SE0StartTime = x
997
# In  --> receive data = d2
998
# In  --> StopTime = x, SE0StartTime = x
999
# In  --> EOP asserted for 2 bit time at time 678143000
1000
# In  --> bits received are 7
1001
# In  --> ACK received at time 678143000.
1002
# Input Address:01, EndPt:0
1003
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
1004
# In  CntrlTransType = 11, WRITE = 11
1005
# In  --> In task wait for response at time 681366000
1006
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 681671000
1007
# In  --> Decoder enabled at time 682384000 in host
1008
# In  --> receive data = 4b
1009
# In  --> receive data = 00
1010
# In  --> StopTime = x, SE0StartTime = x
1011
# In  --> receive data = 00
1012
# In  --> StopTime = x, SE0StartTime = x
1013
# In  --> EOP asserted for 2 bit time at time 684527000
1014
#
1015
# ... Reading the UART Status: 0000000f ...
1016
# ... Write data 01 to UART done cnt :          9 ...
1017
#
1018
#
1019
# ... Writing char  13 ...
1020
# CntrlTransType = 10
1021
# In  --> In task wait for response at time 698334000
1022
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 698639000
1023
# In  --> Decoder enabled at time 699348000 in host
1024
# In  --> StopTime = x, SE0StartTime = x
1025
# In  --> receive data = d2
1026
# In  --> StopTime = x, SE0StartTime = x
1027
# In  --> EOP asserted for 2 bit time at time 700151000
1028
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1029
# CntrlTransType = 10
1030
# In  --> In task wait for response at time 703290000
1031
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 703595000
1032
# In  --> Decoder enabled at time 704308000 in host
1033
# In  --> receive data = 4b
1034
# In  --> receive data = 00
1035
# In  --> receive data = 00
1036
# In  --> receive data = 00
1037
# In  --> receive data = 00
1038
# In  --> receive data = ff
1039
# In  --> StopTime = x, SE0StartTime = x
1040
# In  --> receive data = db
1041
# In  --> StopTime = x, SE0StartTime = x
1042
# In  --> EOP asserted for 2 bit time at time 709202000
1043
# In  --> Data toggle recevied is 1001011 at time 709286000
1044
# In  --> received byte[1] = 00000000
1045
# In  --> received byte[2] = 00000000
1046
# In  --> received byte[3] = 00000000
1047
# In  --> received byte[4] = 00000000
1048
# In  --> calculated crc is 24 at time 709286000.
1049
# In  --> received raw crc is 24 at time 709286000.
1050
# In  --> received crc is ffdb at time 709286000.
1051
# In  --> tmpCrc ffdb, at time 709286000
1052
# In  --> sending ACK at time 711186000
1053
# RecvBuffer[0]  = 00000000  : 0
1054
# RecvBuffer[1]  = 00000000  : 0
1055
# RecvBuffer[2]  = 00000000  : 0
1056
# RecvBuffer[3]  = 00000000  : 0
1057
# In  --> In task wait for response at time 717738000
1058
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 718022000
1059
# In  --> Decoder enabled at time 718750000 in host
1060
# In  --> StopTime = x, SE0StartTime = x
1061
# In  --> receive data = d2
1062
# In  --> StopTime = x, SE0StartTime = x
1063
# In  --> EOP asserted for 2 bit time at time 719534000
1064
# CntrlTransType = 10
1065
# In  --> In task wait for response at time 731430000
1066
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 731714000
1067
# In  --> Decoder enabled at time 732442000 in host
1068
# In  --> StopTime = x, SE0StartTime = x
1069
# In  --> receive data = d2
1070
# In  --> StopTime = x, SE0StartTime = x
1071
# In  --> EOP asserted for 2 bit time at time 733226000
1072
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1073
# CntrlTransType = 10
1074
# In  --> In task wait for response at time 736386000
1075
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 736754000
1076
# In  --> Decoder enabled at time 737485000 in host
1077
# In  --> receive data = 4b
1078
# In  --> receive data = 00
1079
# In  --> receive data = 00
1080
# In  --> receive data = 00
1081
# In  --> receive data = 8d
1082
# In  --> receive data = 3f
1083
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  0d
1084
# ... Read Data from UART done cnt :         5...
1085
# In  --> StopTime = x, SE0StartTime = x
1086
# In  --> receive data = be
1087
# In  --> StopTime = x, SE0StartTime = x
1088
# In  --> EOP asserted for 2 bit time at time 742382000
1089
# In  --> Data toggle recevied is 1001011 at time 742466000
1090
# In  --> received byte[1] = 00000000
1091
# In  --> received byte[2] = 00000000
1092
# In  --> received byte[3] = 00000000
1093
# In  --> received byte[4] = 10001101
1094
# In  --> calculated crc is 382 at time 742466000.
1095
# In  --> received raw crc is 382 at time 742466000.
1096
# In  --> received crc is 3fbe at time 742466000.
1097
# In  --> tmpCrc 3fbe, at time 742466000
1098
# In  --> sending ACK at time 744366000
1099
# RecvBuffer[0]  = 00000000  : 0
1100
# RecvBuffer[1]  = 00000000  : 0
1101
# RecvBuffer[2]  = 00000000  : 0
1102
# RecvBuffer[3]  = 10001101  : 141
1103
# In  --> In task wait for response at time 750918000
1104
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 751202000
1105
# In  --> Decoder enabled at time 751930000 in host
1106
# In  --> StopTime = x, SE0StartTime = x
1107
# In  --> receive data = d2
1108
# In  --> StopTime = x, SE0StartTime = x
1109
# In  --> EOP asserted for 2 bit time at time 752714000
1110
# ... Write data 0d to UART done cnt :         10 ...
1111
#
1112
#
1113
# ... Writing char 118 ...
1114
# CntrlTransType = 11
1115
# In  --> In task wait for response at time 764610000
1116
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 764894000
1117
# In  --> Decoder enabled at time 765619000 in host
1118
# In  --> StopTime = x, SE0StartTime = x
1119
# In  --> receive data = d2
1120
# In  --> StopTime = x, SE0StartTime = x
1121
# In  --> EOP asserted for 2 bit time at time 766406000
1122
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1123
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
1124
# In  --> DataToggle is 1
1125
# In  --> DataToggle is 4b at time 769650000.
1126
# In  --> sending byte[1] = 00000000
1127
# In  --> sending byte[2] = 00000000
1128
# In  --> sending byte[3] = 00000000
1129
# In  --> sending byte[4] = 10001101
1130
# In  --> raw crc is 382 at time              769650
1131
# In  --> sent crc is 3fbe at time              769650
1132
# In  --> In task wait for response at time 775698000
1133
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 775982000
1134
# In  --> Decoder enabled at time 776706000 in host
1135
# In  --> StopTime = x, SE0StartTime = x
1136
# In  --> receive data = d2
1137
# In  --> StopTime = x, SE0StartTime = x
1138
# In  --> EOP asserted for 2 bit time at time 777494000
1139
# In  --> bits received are 7
1140
# In  --> ACK received at time 777494000.
1141
# Input Address:01, EndPt:0
1142
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
1143
# In  CntrlTransType = 11, WRITE = 11
1144
# In  --> In task wait for response at time 780738000
1145
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 781106000
1146
# In  --> Decoder enabled at time 781832000 in host
1147
# In  --> receive data = 4b
1148
# In  --> receive data = 00
1149
# In  --> StopTime = x, SE0StartTime = x
1150
# In  --> receive data = 00
1151
# In  --> StopTime = x, SE0StartTime = x
1152
# In  --> EOP asserted for 2 bit time at time 783962000
1153
#
1154
# ... Reading the UART Status: 0000008f ...
1155
# CntrlTransType = 10
1156
# In  --> In task wait for response at time 797790000
1157
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 798074000
1158
# In  --> Decoder enabled at time 798799000 in host
1159
# In  --> StopTime = x, SE0StartTime = x
1160
# In  --> receive data = d2
1161
# In  --> StopTime = x, SE0StartTime = x
1162
# In  --> EOP asserted for 2 bit time at time 799586000
1163
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1164
# CntrlTransType = 10
1165
# In  --> In task wait for response at time 802746000
1166
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 803114000
1167
# In  --> Decoder enabled at time 803839000 in host
1168
# In  --> receive data = 4b
1169
# In  --> receive data = 00
1170
# In  --> receive data = 00
1171
# In  --> receive data = 00
1172
# In  --> receive data = 00
1173
# In  --> receive data = ff
1174
# In  --> StopTime = x, SE0StartTime = x
1175
# In  --> receive data = db
1176
# In  --> StopTime = x, SE0StartTime = x
1177
# In  --> EOP asserted for 2 bit time at time 808721000
1178
# In  --> Data toggle recevied is 1001011 at time 808805000
1179
# In  --> received byte[1] = 00000000
1180
# In  --> received byte[2] = 00000000
1181
# In  --> received byte[3] = 00000000
1182
# In  --> received byte[4] = 00000000
1183
# In  --> calculated crc is 24 at time 808805000.
1184
# In  --> received raw crc is 24 at time 808805000.
1185
# In  --> received crc is ffdb at time 808805000.
1186
# In  --> tmpCrc ffdb, at time 808805000
1187
# In  --> sending ACK at time 810726000
1188
# RecvBuffer[0]  = 00000000  : 0
1189
# RecvBuffer[1]  = 00000000  : 0
1190
# RecvBuffer[2]  = 00000000  : 0
1191
# RecvBuffer[3]  = 00000000  : 0
1192
# In  --> In task wait for response at time 817278000
1193
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 817583000
1194
# In  --> Decoder enabled at time 818282000 in host
1195
# In  --> StopTime = x, SE0StartTime = x
1196
# In  --> receive data = d2
1197
# In  --> StopTime = x, SE0StartTime = x
1198
# In  --> EOP asserted for 2 bit time at time 819095000
1199
# ... Write data 76 to UART done cnt :         11 ...
1200
#
1201
#
1202
# ... Writing char  61 ...
1203
# CntrlTransType = 10
1204
# In  --> In task wait for response at time 830970000
1205
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 831275000
1206
# In  --> Decoder enabled at time 831973000 in host
1207
# In  --> StopTime = x, SE0StartTime = x
1208
# In  --> receive data = d2
1209
# In  --> StopTime = x, SE0StartTime = x
1210
# In  --> EOP asserted for 2 bit time at time 832787000
1211
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1212
# CntrlTransType = 10
1213
# In  --> In task wait for response at time 835926000
1214
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 836315000
1215
# In  --> Decoder enabled at time 837017000 in host
1216
# In  --> receive data = 4b
1217
# In  --> receive data = 00
1218
# In  --> receive data = 00
1219
# In  --> receive data = 00
1220
# In  --> receive data = 65
1221
# In  --> receive data = 3f
1222
# In  --> StopTime = x, SE0StartTime = x
1223
# In  --> receive data = f0
1224
# In  --> StopTime = x, SE0StartTime = x
1225
# In  --> EOP asserted for 2 bit time at time 841901000
1226
# In  --> Data toggle recevied is 1001011 at time 841985000
1227
# In  --> received byte[1] = 00000000
1228
# In  --> received byte[2] = 00000000
1229
# In  --> received byte[3] = 00000000
1230
# In  --> received byte[4] = 01100101
1231
# In  --> calculated crc is 3f0 at time 841985000.
1232
# In  --> received raw crc is 3f0 at time 841985000.
1233
# In  --> received crc is 3ff0 at time 841985000.
1234
# In  --> tmpCrc 3ff0, at time 841985000
1235
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  8d
1236
# ... Read Data from UART done cnt :         6...
1237
# In  --> sending ACK at time 843906000
1238
# RecvBuffer[0]  = 00000000  : 0
1239
# RecvBuffer[1]  = 00000000  : 0
1240
# RecvBuffer[2]  = 00000000  : 0
1241
# RecvBuffer[3]  = 01100101  : 101
1242
# In  --> In task wait for response at time 850458000
1243
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 850763000
1244
# In  --> Decoder enabled at time 851459000 in host
1245
# In  --> StopTime = x, SE0StartTime = x
1246
# In  --> receive data = d2
1247
# In  --> StopTime = x, SE0StartTime = x
1248
# In  --> EOP asserted for 2 bit time at time 852254000
1249
# CntrlTransType = 11
1250
# In  --> In task wait for response at time 864150000
1251
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 864434000
1252
# In  --> Decoder enabled at time 865151000 in host
1253
# In  --> StopTime = x, SE0StartTime = x
1254
# In  --> receive data = d2
1255
# In  --> StopTime = x, SE0StartTime = x
1256
# In  --> EOP asserted for 2 bit time at time 865946000
1257
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1258
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
1259
# In  --> DataToggle is 1
1260
# In  --> DataToggle is 4b at time 869190000.
1261
# In  --> sending byte[1] = 00000000
1262
# In  --> sending byte[2] = 00000000
1263
# In  --> sending byte[3] = 00000000
1264
# In  --> sending byte[4] = 01100101
1265
# In  --> raw crc is 3f0 at time              869190
1266
# In  --> sent crc is 3ff0 at time              869190
1267
# In  --> In task wait for response at time 875238000
1268
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 875522000
1269
# In  --> Decoder enabled at time 876258000 in host
1270
# In  --> StopTime = x, SE0StartTime = x
1271
# In  --> receive data = d2
1272
# In  --> StopTime = x, SE0StartTime = x
1273
# In  --> EOP asserted for 2 bit time at time 877055000
1274
# In  --> bits received are 7
1275
# In  --> ACK received at time 877055000.
1276
# Input Address:01, EndPt:0
1277
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
1278
# In  CntrlTransType = 11, WRITE = 11
1279
# In  --> In task wait for response at time 880278000
1280
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 880667000
1281
# In  --> Decoder enabled at time 881367000 in host
1282
# In  --> receive data = 4b
1283
# In  --> receive data = 00
1284
# In  --> StopTime = x, SE0StartTime = x
1285
# In  --> receive data = 00
1286
# In  --> StopTime = x, SE0StartTime = x
1287
# In  --> EOP asserted for 2 bit time at time 883502000
1288
#
1289
# ... Reading the UART Status: 00000067 ...
1290
# ... Write data 3d to UART done cnt :         12 ...
1291
#
1292
#
1293
# ... Writing char 237 ...
1294
# CntrlTransType = 10
1295
# In  --> In task wait for response at time 897330000
1296
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 897614000
1297
# In  --> Decoder enabled at time 898349000 in host
1298
# In  --> StopTime = x, SE0StartTime = x
1299
# In  --> receive data = d2
1300
# In  --> StopTime = x, SE0StartTime = x
1301
# In  --> EOP asserted for 2 bit time at time 899147000
1302
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1303
# CntrlTransType = 10
1304
# In  --> In task wait for response at time 902286000
1305
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 902675000
1306
# In  --> Decoder enabled at time 903374000 in host
1307
# In  --> receive data = 4b
1308
# In  --> receive data = 00
1309
# In  --> receive data = 00
1310
# In  --> receive data = 00
1311
# In  --> receive data = 00
1312
# In  --> receive data = ff
1313
# In  --> StopTime = x, SE0StartTime = x
1314
# In  --> receive data = db
1315
# In  --> StopTime = x, SE0StartTime = x
1316
# In  --> EOP asserted for 2 bit time at time 908261000
1317
# In  --> Data toggle recevied is 1001011 at time 908345000
1318
# In  --> received byte[1] = 00000000
1319
# In  --> received byte[2] = 00000000
1320
# In  --> received byte[3] = 00000000
1321
# In  --> received byte[4] = 00000000
1322
# In  --> calculated crc is 24 at time 908345000.
1323
# In  --> received raw crc is 24 at time 908345000.
1324
# In  --> received crc is ffdb at time 908345000.
1325
# In  --> tmpCrc ffdb, at time 908345000
1326
# In  --> sending ACK at time 910266000
1327
# RecvBuffer[0]  = 00000000  : 0
1328
# RecvBuffer[1]  = 00000000  : 0
1329
# RecvBuffer[2]  = 00000000  : 0
1330
# RecvBuffer[3]  = 00000000  : 0
1331
# In  --> In task wait for response at time 916818000
1332
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 917123000
1333
# In  --> Decoder enabled at time 917837000 in host
1334
# In  --> StopTime = x, SE0StartTime = x
1335
# In  --> receive data = d2
1336
# In  --> StopTime = x, SE0StartTime = x
1337
# In  --> EOP asserted for 2 bit time at time 918635000
1338
# CntrlTransType = 10
1339
# In  --> In task wait for response at time 930510000
1340
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 930815000
1341
# In  --> Decoder enabled at time 931529000 in host
1342
# In  --> StopTime = x, SE0StartTime = x
1343
# In  --> receive data = d2
1344
# In  --> StopTime = x, SE0StartTime = x
1345
# In  --> EOP asserted for 2 bit time at time 932327000
1346
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1347
# CntrlTransType = 10
1348
# In  --> In task wait for response at time 935466000
1349
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 935855000
1350
# In  --> Decoder enabled at time 936569000 in host
1351
# In  --> receive data = 4b
1352
# In  --> receive data = 00
1353
# In  --> receive data = 00
1354
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  65
1355
# ... Read Data from UART done cnt :         7...
1356
# In  --> receive data = 00
1357
# In  --> receive data = 12
1358
# In  --> receive data = 7f
1359
# In  --> StopTime = x, SE0StartTime = x
1360
# In  --> receive data = d6
1361
# In  --> StopTime = x, SE0StartTime = x
1362
# In  --> EOP asserted for 2 bit time at time 941462000
1363
# In  --> Data toggle recevied is 1001011 at time 941546000
1364
# In  --> received byte[1] = 00000000
1365
# In  --> received byte[2] = 00000000
1366
# In  --> received byte[3] = 00000000
1367
# In  --> received byte[4] = 00010010
1368
# In  --> calculated crc is 194 at time 941546000.
1369
# In  --> received raw crc is 194 at time 941546000.
1370
# In  --> received crc is 7fd6 at time 941546000.
1371
# In  --> tmpCrc 7fd6, at time 941546000
1372
# In  --> sending ACK at time 943446000
1373
# RecvBuffer[0]  = 00000000  : 0
1374
# RecvBuffer[1]  = 00000000  : 0
1375
# RecvBuffer[2]  = 00000000  : 0
1376
# RecvBuffer[3]  = 00010010  : 18
1377
# ... Write data ed to UART done cnt :         13 ...
1378
#
1379
#
1380
# ... Writing char 140 ...
1381
# In  --> In task wait for response at time 949998000
1382
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 950282000
1383
# In  --> Decoder enabled at time 951011000 in host
1384
# In  --> StopTime = x, SE0StartTime = x
1385
# In  --> receive data = d2
1386
# In  --> StopTime = x, SE0StartTime = x
1387
# In  --> EOP asserted for 2 bit time at time 951815000
1388
# CntrlTransType = 11
1389
# In  --> In task wait for response at time 963690000
1390
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 963995000
1391
# In  --> Decoder enabled at time 964703000 in host
1392
# In  --> StopTime = x, SE0StartTime = x
1393
# In  --> receive data = d2
1394
# In  --> StopTime = x, SE0StartTime = x
1395
# In  --> EOP asserted for 2 bit time at time 965507000
1396
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1397
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
1398
# In  --> DataToggle is 1
1399
# In  --> DataToggle is 4b at time 968730000.
1400
# In  --> sending byte[1] = 00000000
1401
# In  --> sending byte[2] = 00000000
1402
# In  --> sending byte[3] = 00000000
1403
# In  --> sending byte[4] = 00010010
1404
# In  --> raw crc is 194 at time              968730
1405
# In  --> sent crc is 7fd6 at time              968730
1406
# In  --> In task wait for response at time 974778000
1407
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 975083000
1408
# In  --> Decoder enabled at time 975793000 in host
1409
# In  --> StopTime = x, SE0StartTime = x
1410
# In  --> receive data = d2
1411
# In  --> StopTime = x, SE0StartTime = x
1412
# In  --> EOP asserted for 2 bit time at time 976595000
1413
# In  --> bits received are 7
1414
# In  --> ACK received at time 976595000.
1415
# Input Address:01, EndPt:0
1416
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
1417
# In  CntrlTransType = 11, WRITE = 11
1418
# In  --> In task wait for response at time 979818000
1419
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 980207000
1420
# In  --> Decoder enabled at time 980917000 in host
1421
# In  --> receive data = 4b
1422
# In  --> receive data = 00
1423
# In  --> StopTime = x, SE0StartTime = x
1424
# In  --> receive data = 00
1425
# In  --> StopTime = x, SE0StartTime = x
1426
# In  --> EOP asserted for 2 bit time at time 983063000
1427
#
1428
# ... Reading the UART Status: 00000012 ...
1429
# CntrlTransType = 10
1430
# In  --> In task wait for response at time 996870000
1431
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 997175000
1432
# In  --> Decoder enabled at time 997881000 in host
1433
# In  --> StopTime = x, SE0StartTime = x
1434
# In  --> receive data = d2
1435
# In  --> StopTime = x, SE0StartTime = x
1436
# In  --> EOP asserted for 2 bit time at time 998687000
1437
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1438
# CntrlTransType = 10
1439
# In  --> In task wait for response at time 1001826000
1440
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1002215000
1441
# In  --> Decoder enabled at time 1002924000 in host
1442
# In  --> receive data = 4b
1443
# In  --> receive data = 00
1444
# In  --> receive data = 00
1445
# In  --> receive data = 00
1446
# In  --> receive data = 00
1447
# In  --> receive data = ff
1448
# In  --> StopTime = x, SE0StartTime = x
1449
# In  --> receive data = db
1450
# In  --> StopTime = x, SE0StartTime = x
1451
# In  --> EOP asserted for 2 bit time at time 1007822000
1452
# In  --> Data toggle recevied is 1001011 at time 1007906000
1453
# In  --> received byte[1] = 00000000
1454
# In  --> received byte[2] = 00000000
1455
# In  --> received byte[3] = 00000000
1456
# In  --> received byte[4] = 00000000
1457
# In  --> calculated crc is 24 at time 1007906000.
1458
# In  --> received raw crc is 24 at time 1007906000.
1459
# In  --> received crc is ffdb at time 1007906000.
1460
# In  --> tmpCrc ffdb, at time 1007906000
1461
# In  --> sending ACK at time 1009806000
1462
# RecvBuffer[0]  = 00000000  : 0
1463
# RecvBuffer[1]  = 00000000  : 0
1464
# RecvBuffer[2]  = 00000000  : 0
1465
# RecvBuffer[3]  = 00000000  : 0
1466
# ... Write data 8c to UART done cnt :         14 ...
1467
#
1468
#
1469
# ... Writing char 249 ...
1470
# In  --> In task wait for response at time 1016358000
1471
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1016642000
1472
# In  --> Decoder enabled at time 1017369000 in host
1473
# In  --> StopTime = x, SE0StartTime = x
1474
# In  --> receive data = d2
1475
# In  --> StopTime = x, SE0StartTime = x
1476
# In  --> EOP asserted for 2 bit time at time 1018154000
1477
# CntrlTransType = 10
1478
# In  --> In task wait for response at time 1030050000
1479
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1030334000
1480
# In  --> Decoder enabled at time 1031058000 in host
1481
# In  --> StopTime = x, SE0StartTime = x
1482
# In  --> receive data = d2
1483
# In  --> StopTime = x, SE0StartTime = x
1484
# In  --> EOP asserted for 2 bit time at time 1031846000
1485
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1486
# CntrlTransType = 10
1487
# In  --> In task wait for response at time 1035006000
1488
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1035374000
1489
# In  --> Decoder enabled at time 1036104000 in host
1490
# In  --> receive data = 4b
1491
# In  --> receive data = 00
1492
# In  --> receive data = 00
1493
# In  --> receive data = 00
1494
# In  --> receive data = 01
1495
# In  --> receive data = 3e
1496
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  12
1497
# ... Read Data from UART done cnt :         8...
1498
# In  --> StopTime = x, SE0StartTime = x
1499
# In  --> receive data = 1b
1500
# In  --> StopTime = x, SE0StartTime = x
1501
# In  --> EOP asserted for 2 bit time at time 1040897000
1502
# In  --> Data toggle recevied is 1001011 at time 1040981000
1503
# In  --> received byte[1] = 00000000
1504
# In  --> received byte[2] = 00000000
1505
# In  --> received byte[3] = 00000000
1506
# In  --> received byte[4] = 00000001
1507
# In  --> calculated crc is 8327 at time 1040981000.
1508
# In  --> received raw crc is 8327 at time 1040981000.
1509
# In  --> received crc is 3e1b at time 1040981000.
1510
# In  --> tmpCrc 3e1b, at time 1040981000
1511
# In  --> sending ACK at time 1042902000
1512
# RecvBuffer[0]  = 00000000  : 0
1513
# RecvBuffer[1]  = 00000000  : 0
1514
# RecvBuffer[2]  = 00000000  : 0
1515
# RecvBuffer[3]  = 00000001  : 1
1516
# In  --> In task wait for response at time 1049454000
1517
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1049759000
1518
# In  --> Decoder enabled at time 1050463000 in host
1519
# In  --> StopTime = x, SE0StartTime = x
1520
# In  --> receive data = d2
1521
# In  --> StopTime = x, SE0StartTime = x
1522
# In  --> EOP asserted for 2 bit time at time 1051271000
1523
# CntrlTransType = 11
1524
# In  --> In task wait for response at time 1063146000
1525
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1063451000
1526
# In  --> Decoder enabled at time 1064152000 in host
1527
# In  --> StopTime = x, SE0StartTime = x
1528
# In  --> receive data = d2
1529
# In  --> StopTime = x, SE0StartTime = x
1530
# In  --> EOP asserted for 2 bit time at time 1064963000
1531
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1532
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
1533
# In  --> DataToggle is 1
1534
# In  --> DataToggle is 4b at time 1068186000.
1535
# In  --> sending byte[1] = 00000000
1536
# In  --> sending byte[2] = 00000000
1537
# In  --> sending byte[3] = 00000000
1538
# In  --> sending byte[4] = 00000001
1539
# In  --> raw crc is 8327 at time             1068186
1540
# In  --> sent crc is 3e1b at time             1068186
1541
# In  --> In task wait for response at time 1074150000
1542
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1074455000
1543
# In  --> Decoder enabled at time 1075155000 in host
1544
# In  --> StopTime = x, SE0StartTime = x
1545
# In  --> receive data = d2
1546
# In  --> StopTime = x, SE0StartTime = x
1547
# In  --> EOP asserted for 2 bit time at time 1075967000
1548
# In  --> bits received are 7
1549
# In  --> ACK received at time 1075967000.
1550
# Input Address:01, EndPt:0
1551
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
1552
# In  CntrlTransType = 11, WRITE = 11
1553
# ... Write data f9 to UART done cnt :         15 ...
1554
#
1555
#
1556
# ... Writing char 198 ...
1557
# In  --> In task wait for response at time 1079190000
1558
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1079579000
1559
# In  --> Decoder enabled at time 1080285000 in host
1560
# In  --> receive data = 4b
1561
# In  --> receive data = 00
1562
# In  --> StopTime = x, SE0StartTime = x
1563
# In  --> receive data = 00
1564
# In  --> StopTime = x, SE0StartTime = x
1565
# In  --> EOP asserted for 2 bit time at time 1082414000
1566
#
1567
# ... Reading the UART Status: 00000003 ...
1568
# CntrlTransType = 10
1569
# In  --> In task wait for response at time 1096242000
1570
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1096526000
1571
# In  --> Decoder enabled at time 1097246000 in host
1572
# In  --> StopTime = x, SE0StartTime = x
1573
# In  --> receive data = d2
1574
# In  --> StopTime = x, SE0StartTime = x
1575
# In  --> EOP asserted for 2 bit time at time 1098038000
1576
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1577
# CntrlTransType = 10
1578
# In  --> In task wait for response at time 1101198000
1579
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1101566000
1580
# In  --> Decoder enabled at time 1102292000 in host
1581
# In  --> receive data = 4b
1582
# In  --> receive data = 00
1583
# In  --> receive data = 00
1584
# In  --> receive data = 00
1585
# In  --> receive data = 00
1586
# In  --> receive data = ff
1587
# In  --> StopTime = x, SE0StartTime = x
1588
# In  --> receive data = db
1589
# In  --> StopTime = x, SE0StartTime = x
1590
# In  --> EOP asserted for 2 bit time at time 1107173000
1591
# In  --> Data toggle recevied is 1001011 at time 1107257000
1592
# In  --> received byte[1] = 00000000
1593
# In  --> received byte[2] = 00000000
1594
# In  --> received byte[3] = 00000000
1595
# In  --> received byte[4] = 00000000
1596
# In  --> calculated crc is 24 at time 1107257000.
1597
# In  --> received raw crc is 24 at time 1107257000.
1598
# In  --> received crc is ffdb at time 1107257000.
1599
# In  --> tmpCrc ffdb, at time 1107257000
1600
# In  --> sending ACK at time 1109178000
1601
# RecvBuffer[0]  = 00000000  : 0
1602
# RecvBuffer[1]  = 00000000  : 0
1603
# RecvBuffer[2]  = 00000000  : 0
1604
# RecvBuffer[3]  = 00000000  : 0
1605
# In  --> In task wait for response at time 1115730000
1606
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1116035000
1607
# In  --> Decoder enabled at time 1116734000 in host
1608
# In  --> StopTime = x, SE0StartTime = x
1609
# In  --> receive data = d2
1610
# In  --> StopTime = x, SE0StartTime = x
1611
# In  --> EOP asserted for 2 bit time at time 1117526000
1612
# CntrlTransType = 10
1613
# In  --> In task wait for response at time 1129422000
1614
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1129706000
1615
# In  --> Decoder enabled at time 1130426000 in host
1616
# In  --> StopTime = x, SE0StartTime = x
1617
# In  --> receive data = d2
1618
# In  --> StopTime = x, SE0StartTime = x
1619
# In  --> EOP asserted for 2 bit time at time 1131218000
1620
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1621
# CntrlTransType = 10
1622
# In  --> In task wait for response at time 1134378000
1623
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1134746000
1624
# In  --> Decoder enabled at time 1135466000 in host
1625
# In  --> receive data = 4b
1626
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  01
1627
# ... Read Data from UART done cnt :         9...
1628
# In  --> receive data = 00
1629
# In  --> receive data = 00
1630
# In  --> receive data = 00
1631
# In  --> receive data = 0d
1632
# In  --> receive data = 3e
1633
# In  --> StopTime = x, SE0StartTime = x
1634
# In  --> receive data = 1e
1635
# In  --> StopTime = x, SE0StartTime = x
1636
# In  --> EOP asserted for 2 bit time at time 1140269000
1637
# In  --> Data toggle recevied is 1001011 at time 1140353000
1638
# In  --> received byte[1] = 00000000
1639
# In  --> received byte[2] = 00000000
1640
# In  --> received byte[3] = 00000000
1641
# In  --> received byte[4] = 00001101
1642
# In  --> calculated crc is 8387 at time 1140353000.
1643
# In  --> received raw crc is 8387 at time 1140353000.
1644
# In  --> received crc is 3e1e at time 1140353000.
1645
# In  --> tmpCrc 3e1e, at time 1140353000
1646
# ... Write data c6 to UART done cnt :         16 ...
1647
#
1648
#
1649
# ... Writing char 197 ...
1650
# In  --> sending ACK at time 1142274000
1651
# RecvBuffer[0]  = 00000000  : 0
1652
# RecvBuffer[1]  = 00000000  : 0
1653
# RecvBuffer[2]  = 00000000  : 0
1654
# RecvBuffer[3]  = 00001101  : 13
1655
# In  --> In task wait for response at time 1148826000
1656
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1149131000
1657
# In  --> Decoder enabled at time 1149846000 in host
1658
# In  --> StopTime = x, SE0StartTime = x
1659
# In  --> receive data = d2
1660
# In  --> StopTime = x, SE0StartTime = x
1661
# In  --> EOP asserted for 2 bit time at time 1150643000
1662
# CntrlTransType = 11
1663
# In  --> In task wait for response at time 1162518000
1664
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1162823000
1665
# In  --> Decoder enabled at time 1163538000 in host
1666
# In  --> StopTime = x, SE0StartTime = x
1667
# In  --> receive data = d2
1668
# In  --> StopTime = x, SE0StartTime = x
1669
# In  --> EOP asserted for 2 bit time at time 1164335000
1670
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1671
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
1672
# In  --> DataToggle is 1
1673
# In  --> DataToggle is 4b at time 1167558000.
1674
# In  --> sending byte[1] = 00000000
1675
# In  --> sending byte[2] = 00000000
1676
# In  --> sending byte[3] = 00000000
1677
# In  --> sending byte[4] = 00001101
1678
# In  --> raw crc is 8387 at time             1167558
1679
# In  --> sent crc is 3e1e at time             1167558
1680
# In  --> In task wait for response at time 1173522000
1681
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1173827000
1682
# In  --> Decoder enabled at time 1174541000 in host
1683
# In  --> StopTime = x, SE0StartTime = x
1684
# In  --> receive data = d2
1685
# In  --> StopTime = x, SE0StartTime = x
1686
# In  --> EOP asserted for 2 bit time at time 1175339000
1687
# In  --> bits received are 7
1688
# In  --> ACK received at time 1175339000.
1689
# Input Address:01, EndPt:0
1690
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
1691
# In  CntrlTransType = 11, WRITE = 11
1692
# In  --> In task wait for response at time 1178562000
1693
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1178951000
1694
# In  --> Decoder enabled at time 1179650000 in host
1695
# In  --> receive data = 4b
1696
# In  --> receive data = 00
1697
# In  --> StopTime = x, SE0StartTime = x
1698
# In  --> receive data = 00
1699
# In  --> StopTime = x, SE0StartTime = x
1700
# In  --> EOP asserted for 2 bit time at time 1181786000
1701
#
1702
# ... Reading the UART Status: 0000000f ...
1703
# CntrlTransType = 10
1704
# In  --> In task wait for response at time 1195614000
1705
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1195898000
1706
# In  --> Decoder enabled at time 1196632000 in host
1707
# In  --> StopTime = x, SE0StartTime = x
1708
# In  --> receive data = d2
1709
# In  --> StopTime = x, SE0StartTime = x
1710
# In  --> EOP asserted for 2 bit time at time 1197431000
1711
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1712
# CntrlTransType = 10
1713
# In  --> In task wait for response at time 1200570000
1714
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1200959000
1715
# In  --> Decoder enabled at time 1201657000 in host
1716
# In  --> receive data = 4b
1717
# In  --> receive data = 00
1718
# In  --> receive data = 00
1719
# In  --> receive data = 00
1720
# In  --> receive data = 00
1721
# In  --> receive data = ff
1722
# ... Write data c5 to UART done cnt :         17 ...
1723
#
1724
#
1725
# ... Writing char 170 ...
1726
# In  --> StopTime = x, SE0StartTime = x
1727
# In  --> receive data = db
1728
# In  --> StopTime = x, SE0StartTime = x
1729
# In  --> EOP asserted for 2 bit time at time 1206545000
1730
# In  --> Data toggle recevied is 1001011 at time 1206629000
1731
# In  --> received byte[1] = 00000000
1732
# In  --> received byte[2] = 00000000
1733
# In  --> received byte[3] = 00000000
1734
# In  --> received byte[4] = 00000000
1735
# In  --> calculated crc is 24 at time 1206629000.
1736
# In  --> received raw crc is 24 at time 1206629000.
1737
# In  --> received crc is ffdb at time 1206629000.
1738
# In  --> tmpCrc ffdb, at time 1206629000
1739
# In  --> sending ACK at time 1208550000
1740
# RecvBuffer[0]  = 00000000  : 0
1741
# RecvBuffer[1]  = 00000000  : 0
1742
# RecvBuffer[2]  = 00000000  : 0
1743
# RecvBuffer[3]  = 00000000  : 0
1744
# In  --> In task wait for response at time 1215102000
1745
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1215407000
1746
# In  --> Decoder enabled at time 1216120000 in host
1747
# In  --> StopTime = x, SE0StartTime = x
1748
# In  --> receive data = d2
1749
# In  --> StopTime = x, SE0StartTime = x
1750
# In  --> EOP asserted for 2 bit time at time 1216919000
1751
# CntrlTransType = 10
1752
# In  --> In task wait for response at time 1228794000
1753
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1229099000
1754
# In  --> Decoder enabled at time 1229812000 in host
1755
# In  --> StopTime = x, SE0StartTime = x
1756
# In  --> receive data = d2
1757
# In  --> StopTime = x, SE0StartTime = x
1758
# In  --> EOP asserted for 2 bit time at time 1230611000
1759
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1760
# CntrlTransType = 10
1761
# In  --> In task wait for response at time 1233750000
1762
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1234055000
1763
# In  --> Decoder enabled at time 1234769000 in host
1764
# In  --> receive data = 4b
1765
# In  --> receive data = 00
1766
# In  --> receive data = 00
1767
# In  --> receive data = 00
1768
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  0d
1769
# ... Read Data from UART done cnt :        10...
1770
# In  --> receive data = 76
1771
# In  --> receive data = 7e
1772
# In  --> StopTime = x, SE0StartTime = x
1773
# In  --> receive data = 3d
1774
# In  --> StopTime = x, SE0StartTime = x
1775
# In  --> EOP asserted for 2 bit time at time 1239662000
1776
# In  --> Data toggle recevied is 1001011 at time 1239746000
1777
# In  --> received byte[1] = 00000000
1778
# In  --> received byte[2] = 00000000
1779
# In  --> received byte[3] = 00000000
1780
# In  --> received byte[4] = 01110110
1781
# In  --> calculated crc is 8143 at time 1239746000.
1782
# In  --> received raw crc is 8143 at time 1239746000.
1783
# In  --> received crc is 7e3d at time 1239746000.
1784
# In  --> tmpCrc 7e3d, at time 1239746000
1785
# In  --> sending ACK at time 1241646000
1786
# RecvBuffer[0]  = 00000000  : 0
1787
# RecvBuffer[1]  = 00000000  : 0
1788
# RecvBuffer[2]  = 00000000  : 0
1789
# RecvBuffer[3]  = 01110110  : 118
1790
# In  --> In task wait for response at time 1248198000
1791
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1248482000
1792
# In  --> Decoder enabled at time 1249214000 in host
1793
# In  --> StopTime = x, SE0StartTime = x
1794
# In  --> receive data = d2
1795
# In  --> StopTime = x, SE0StartTime = x
1796
# In  --> EOP asserted for 2 bit time at time 1250015000
1797
# CntrlTransType = 11
1798
# In  --> In task wait for response at time 1261890000
1799
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1262195000
1800
# In  --> Decoder enabled at time 1262903000 in host
1801
# In  --> StopTime = x, SE0StartTime = x
1802
# In  --> receive data = d2
1803
# In  --> StopTime = x, SE0StartTime = x
1804
# In  --> EOP asserted for 2 bit time at time 1263707000
1805
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1806
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
1807
# In  --> DataToggle is 1
1808
# In  --> DataToggle is 4b at time 1266930000.
1809
# In  --> sending byte[1] = 00000000
1810
# In  --> sending byte[2] = 00000000
1811
# In  --> sending byte[3] = 00000000
1812
# In  --> sending byte[4] = 01110110
1813
# In  --> raw crc is 8143 at time             1266930
1814
# In  --> sent crc is 7e3d at time             1266930
1815
# ... Write data aa to UART done cnt :         18 ...
1816
#
1817
#
1818
# ... Writing char 229 ...
1819
# In  --> In task wait for response at time 1272978000
1820
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1273283000
1821
# In  --> Decoder enabled at time 1273990000 in host
1822
# In  --> StopTime = x, SE0StartTime = x
1823
# In  --> receive data = d2
1824
# In  --> StopTime = x, SE0StartTime = x
1825
# In  --> EOP asserted for 2 bit time at time 1274795000
1826
# In  --> bits received are 7
1827
# In  --> ACK received at time 1274795000.
1828
# Input Address:01, EndPt:0
1829
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
1830
# In  CntrlTransType = 11, WRITE = 11
1831
# In  --> In task wait for response at time 1278018000
1832
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1278407000
1833
# In  --> Decoder enabled at time 1279116000 in host
1834
# In  --> receive data = 4b
1835
# In  --> receive data = 00
1836
# In  --> StopTime = x, SE0StartTime = x
1837
# In  --> receive data = 00
1838
# In  --> StopTime = x, SE0StartTime = x
1839
# In  --> EOP asserted for 2 bit time at time 1281263000
1840
#
1841
# ... Reading the UART Status: 00000076 ...
1842
# CntrlTransType = 10
1843
# In  --> In task wait for response at time 1295070000
1844
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1295375000
1845
# In  --> Decoder enabled at time 1296083000 in host
1846
# In  --> StopTime = x, SE0StartTime = x
1847
# In  --> receive data = d2
1848
# In  --> StopTime = x, SE0StartTime = x
1849
# In  --> EOP asserted for 2 bit time at time 1296887000
1850
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1851
# CntrlTransType = 10
1852
# In  --> In task wait for response at time 1300026000
1853
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1300415000
1854
# In  --> Decoder enabled at time 1301123000 in host
1855
# In  --> receive data = 4b
1856
# In  --> receive data = 00
1857
# In  --> receive data = 00
1858
# In  --> receive data = 00
1859
# In  --> receive data = 00
1860
# In  --> receive data = ff
1861
# In  --> StopTime = x, SE0StartTime = x
1862
# In  --> receive data = db
1863
# In  --> StopTime = x, SE0StartTime = x
1864
# In  --> EOP asserted for 2 bit time at time 1306022000
1865
# In  --> Data toggle recevied is 1001011 at time 1306106000
1866
# In  --> received byte[1] = 00000000
1867
# In  --> received byte[2] = 00000000
1868
# In  --> received byte[3] = 00000000
1869
# In  --> received byte[4] = 00000000
1870
# In  --> calculated crc is 24 at time 1306106000.
1871
# In  --> received raw crc is 24 at time 1306106000.
1872
# In  --> received crc is ffdb at time 1306106000.
1873
# In  --> tmpCrc ffdb, at time 1306106000
1874
# In  --> sending ACK at time 1308006000
1875
# RecvBuffer[0]  = 00000000  : 0
1876
# RecvBuffer[1]  = 00000000  : 0
1877
# RecvBuffer[2]  = 00000000  : 0
1878
# RecvBuffer[3]  = 00000000  : 0
1879
# In  --> In task wait for response at time 1314558000
1880
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1314842000
1881
# In  --> Decoder enabled at time 1315566000 in host
1882
# In  --> StopTime = x, SE0StartTime = x
1883
# In  --> receive data = d2
1884
# In  --> StopTime = x, SE0StartTime = x
1885
# In  --> EOP asserted for 2 bit time at time 1316354000
1886
# CntrlTransType = 10
1887
# In  --> In task wait for response at time 1328250000
1888
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1328534000
1889
# In  --> Decoder enabled at time 1329257000 in host
1890
# In  --> StopTime = x, SE0StartTime = x
1891
# In  --> receive data = d2
1892
# In  --> StopTime = x, SE0StartTime = x
1893
# In  --> EOP asserted for 2 bit time at time 1330046000
1894
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1895
# CntrlTransType = 10
1896
# In  --> In task wait for response at time 1333206000
1897
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1333574000
1898
# ... Write data e5 to UART done cnt :         19 ...
1899
#
1900
#
1901
# ... Writing char 119 ...
1902
# In  --> Decoder enabled at time 1334301000 in host
1903
# In  --> receive data = 4b
1904
# In  --> receive data = 00
1905
# In  --> receive data = 00
1906
# In  --> receive data = 00
1907
# In  --> receive data = 3d
1908
# In  --> receive data = 3e
1909
# In  --> StopTime = x, SE0StartTime = x
1910
# In  --> receive data = 0a
1911
# In  --> StopTime = x, SE0StartTime = x
1912
# In  --> EOP asserted for 2 bit time at time 1339097000
1913
# In  --> Data toggle recevied is 1001011 at time 1339181000
1914
# In  --> received byte[1] = 00000000
1915
# In  --> received byte[2] = 00000000
1916
# In  --> received byte[3] = 00000000
1917
# In  --> received byte[4] = 00111101
1918
# In  --> calculated crc is 83af at time 1339181000.
1919
# In  --> received raw crc is 83af at time 1339181000.
1920
# In  --> received crc is 3e0a at time 1339181000.
1921
# In  --> tmpCrc 3e0a, at time 1339181000
1922
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  76
1923
# ... Read Data from UART done cnt :        11...
1924
# In  --> sending ACK at time 1341102000
1925
# RecvBuffer[0]  = 00000000  : 0
1926
# RecvBuffer[1]  = 00000000  : 0
1927
# RecvBuffer[2]  = 00000000  : 0
1928
# RecvBuffer[3]  = 00111101  : 61
1929
# In  --> In task wait for response at time 1347654000
1930
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1347959000
1931
# In  --> Decoder enabled at time 1348660000 in host
1932
# In  --> StopTime = x, SE0StartTime = x
1933
# In  --> receive data = d2
1934
# In  --> StopTime = x, SE0StartTime = x
1935
# In  --> EOP asserted for 2 bit time at time 1349471000
1936
# CntrlTransType = 11
1937
# In  --> In task wait for response at time 1361346000
1938
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1361651000
1939
# In  --> Decoder enabled at time 1362351000 in host
1940
# In  --> StopTime = x, SE0StartTime = x
1941
# In  --> receive data = d2
1942
# In  --> StopTime = x, SE0StartTime = x
1943
# In  --> EOP asserted for 2 bit time at time 1363163000
1944
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1945
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
1946
# In  --> DataToggle is 1
1947
# In  --> DataToggle is 4b at time 1366386000.
1948
# In  --> sending byte[1] = 00000000
1949
# In  --> sending byte[2] = 00000000
1950
# In  --> sending byte[3] = 00000000
1951
# In  --> sending byte[4] = 00111101
1952
# In  --> raw crc is 83af at time             1366386
1953
# In  --> sent crc is 3e0a at time             1366386
1954
# In  --> In task wait for response at time 1372350000
1955
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1372655000
1956
# In  --> Decoder enabled at time 1373355000 in host
1957
# In  --> StopTime = x, SE0StartTime = x
1958
# In  --> receive data = d2
1959
# In  --> StopTime = x, SE0StartTime = x
1960
# In  --> EOP asserted for 2 bit time at time 1374167000
1961
# In  --> bits received are 7
1962
# In  --> ACK received at time 1374167000.
1963
# Input Address:01, EndPt:0
1964
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
1965
# In  CntrlTransType = 11, WRITE = 11
1966
# In  --> In task wait for response at time 1377390000
1967
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1377779000
1968
# In  --> Decoder enabled at time 1378482000 in host
1969
# In  --> receive data = 4b
1970
# In  --> receive data = 00
1971
# In  --> StopTime = x, SE0StartTime = x
1972
# In  --> receive data = 00
1973
# In  --> StopTime = x, SE0StartTime = x
1974
# In  --> EOP asserted for 2 bit time at time 1380614000
1975
#
1976
# ... Reading the UART Status: 0000003f ...
1977
# CntrlTransType = 10
1978
# In  --> In task wait for response at time 1394442000
1979
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1394726000
1980
# In  --> Decoder enabled at time 1395445000 in host
1981
# In  --> StopTime = x, SE0StartTime = x
1982
# In  --> receive data = d2
1983
# In  --> StopTime = x, SE0StartTime = x
1984
# In  --> EOP asserted for 2 bit time at time 1396238000
1985
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1986
# CntrlTransType = 10
1987
# ... Write data 77 to UART done cnt :         20 ...
1988
#
1989
#
1990
# ... Writing char  18 ...
1991
# In  --> In task wait for response at time 1399398000
1992
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1399766000
1993
# In  --> Decoder enabled at time 1400489000 in host
1994
# In  --> receive data = 4b
1995
# In  --> receive data = 00
1996
# In  --> receive data = 00
1997
# In  --> receive data = 00
1998
# In  --> receive data = 00
1999
# In  --> receive data = ff
2000
# In  --> StopTime = x, SE0StartTime = x
2001
# In  --> receive data = db
2002
# In  --> StopTime = x, SE0StartTime = x
2003
# In  --> EOP asserted for 2 bit time at time 1405373000
2004
# In  --> Data toggle recevied is 1001011 at time 1405457000
2005
# In  --> received byte[1] = 00000000
2006
# In  --> received byte[2] = 00000000
2007
# In  --> received byte[3] = 00000000
2008
# In  --> received byte[4] = 00000000
2009
# In  --> calculated crc is 24 at time 1405457000.
2010
# In  --> received raw crc is 24 at time 1405457000.
2011
# In  --> received crc is ffdb at time 1405457000.
2012
# In  --> tmpCrc ffdb, at time 1405457000
2013
# In  --> sending ACK at time 1407378000
2014
# RecvBuffer[0]  = 00000000  : 0
2015
# RecvBuffer[1]  = 00000000  : 0
2016
# RecvBuffer[2]  = 00000000  : 0
2017
# RecvBuffer[3]  = 00000000  : 0
2018
# In  --> In task wait for response at time 1413930000
2019
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1414235000
2020
# In  --> Decoder enabled at time 1414931000 in host
2021
# In  --> StopTime = x, SE0StartTime = x
2022
# In  --> receive data = d2
2023
# In  --> StopTime = x, SE0StartTime = x
2024
# In  --> EOP asserted for 2 bit time at time 1415726000
2025
# CntrlTransType = 10
2026
# In  --> In task wait for response at time 1427622000
2027
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1427906000
2028
# In  --> Decoder enabled at time 1428623000 in host
2029
# In  --> StopTime = x, SE0StartTime = x
2030
# In  --> receive data = d2
2031
# In  --> StopTime = x, SE0StartTime = x
2032
# In  --> EOP asserted for 2 bit time at time 1429418000
2033
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2034
# CntrlTransType = 10
2035
# In  --> In task wait for response at time 1432578000
2036
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1432946000
2037
# In  --> Decoder enabled at time 1433666000 in host
2038
# In  --> receive data = 4b
2039
# In  --> receive data = 00
2040
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  3d
2041
# ... Read Data from UART done cnt :        12...
2042
# In  --> receive data = 00
2043
# In  --> receive data = 00
2044
# In  --> receive data = ed
2045
# In  --> receive data = 3f
2046
# In  --> StopTime = x, SE0StartTime = x
2047
# In  --> receive data = 96
2048
# In  --> StopTime = x, SE0StartTime = x
2049
# In  --> EOP asserted for 2 bit time at time 1438553000
2050
# In  --> Data toggle recevied is 1001011 at time 1438637000
2051
# In  --> received byte[1] = 00000000
2052
# In  --> received byte[2] = 00000000
2053
# In  --> received byte[3] = 00000000
2054
# In  --> received byte[4] = 11101101
2055
# In  --> calculated crc is 396 at time 1438637000.
2056
# In  --> received raw crc is 396 at time 1438637000.
2057
# In  --> received crc is 3f96 at time 1438637000.
2058
# In  --> tmpCrc 3f96, at time 1438637000
2059
# In  --> sending ACK at time 1440558000
2060
# RecvBuffer[0]  = 00000000  : 0
2061
# RecvBuffer[1]  = 00000000  : 0
2062
# RecvBuffer[2]  = 00000000  : 0
2063
# RecvBuffer[3]  = 11101101  : 237
2064
# In  --> In task wait for response at time 1447110000
2065
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1447415000
2066
# In  --> Decoder enabled at time 1448129000 in host
2067
# In  --> StopTime = x, SE0StartTime = x
2068
# In  --> receive data = d2
2069
# In  --> StopTime = x, SE0StartTime = x
2070
# In  --> EOP asserted for 2 bit time at time 1448927000
2071
# CntrlTransType = 11
2072
# In  --> In task wait for response at time 1460802000
2073
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1461107000
2074
# In  --> Decoder enabled at time 1461821000 in host
2075
# ... Write data 12 to UART done cnt :         21 ...
2076
#
2077
#
2078
# ... Writing char 143 ...
2079
# In  --> StopTime = x, SE0StartTime = x
2080
# In  --> receive data = d2
2081
# In  --> StopTime = x, SE0StartTime = x
2082
# In  --> EOP asserted for 2 bit time at time 1462619000
2083
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2084
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
2085
# In  --> DataToggle is 1
2086
# In  --> DataToggle is 4b at time 1465842000.
2087
# In  --> sending byte[1] = 00000000
2088
# In  --> sending byte[2] = 00000000
2089
# In  --> sending byte[3] = 00000000
2090
# In  --> sending byte[4] = 11101101
2091
# In  --> raw crc is 396 at time             1465842
2092
# In  --> sent crc is 3f96 at time             1465842
2093
# In  --> In task wait for response at time 1471890000
2094
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1472195000
2095
# In  --> Decoder enabled at time 1472908000 in host
2096
# In  --> StopTime = x, SE0StartTime = x
2097
# In  --> receive data = d2
2098
# In  --> StopTime = x, SE0StartTime = x
2099
# In  --> EOP asserted for 2 bit time at time 1473707000
2100
# In  --> bits received are 7
2101
# In  --> ACK received at time 1473707000.
2102
# Input Address:01, EndPt:0
2103
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
2104
# In  CntrlTransType = 11, WRITE = 11
2105
# In  --> In task wait for response at time 1476930000
2106
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1477319000
2107
# In  --> Decoder enabled at time 1478013000 in host
2108
# In  --> receive data = 4b
2109
# In  --> receive data = 00
2110
# In  --> StopTime = x, SE0StartTime = x
2111
# In  --> receive data = 00
2112
# In  --> StopTime = x, SE0StartTime = x
2113
# In  --> EOP asserted for 2 bit time at time 1480154000
2114
#
2115
# ... Reading the UART Status: 000000ef ...
2116
# CntrlTransType = 10
2117
# In  --> In task wait for response at time 1493982000
2118
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1494266000
2119
# In  --> Decoder enabled at time 1495001000 in host
2120
# In  --> StopTime = x, SE0StartTime = x
2121
# In  --> receive data = d2
2122
# In  --> StopTime = x, SE0StartTime = x
2123
# In  --> EOP asserted for 2 bit time at time 1495799000
2124
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2125
# CntrlTransType = 10
2126
# In  --> In task wait for response at time 1498938000
2127
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1499327000
2128
# In  --> Decoder enabled at time 1500044000 in host
2129
# In  --> receive data = 4b
2130
# In  --> receive data = 00
2131
# In  --> receive data = 00
2132
# In  --> receive data = 00
2133
# In  --> receive data = 00
2134
# In  --> receive data = ff
2135
# In  --> StopTime = x, SE0StartTime = x
2136
# In  --> receive data = db
2137
# In  --> StopTime = x, SE0StartTime = x
2138
# In  --> EOP asserted for 2 bit time at time 1504934000
2139
# In  --> Data toggle recevied is 1001011 at time 1505018000
2140
# In  --> received byte[1] = 00000000
2141
# In  --> received byte[2] = 00000000
2142
# In  --> received byte[3] = 00000000
2143
# In  --> received byte[4] = 00000000
2144
# In  --> calculated crc is 24 at time 1505018000.
2145
# In  --> received raw crc is 24 at time 1505018000.
2146
# In  --> received crc is ffdb at time 1505018000.
2147
# In  --> tmpCrc ffdb, at time 1505018000
2148
# In  --> sending ACK at time 1506918000
2149
# RecvBuffer[0]  = 00000000  : 0
2150
# RecvBuffer[1]  = 00000000  : 0
2151
# RecvBuffer[2]  = 00000000  : 0
2152
# RecvBuffer[3]  = 00000000  : 0
2153
# In  --> In task wait for response at time 1513470000
2154
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1513754000
2155
# In  --> Decoder enabled at time 1514483000 in host
2156
# In  --> StopTime = x, SE0StartTime = x
2157
# In  --> receive data = d2
2158
# In  --> StopTime = x, SE0StartTime = x
2159
# In  --> EOP asserted for 2 bit time at time 1515287000
2160
# ... Write data 8f to UART done cnt :         22 ...
2161
#
2162
#
2163
# ... Writing char 242 ...
2164
# CntrlTransType = 10
2165
# In  --> In task wait for response at time 1527162000
2166
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1527467000
2167
# In  --> Decoder enabled at time 1528178000 in host
2168
# In  --> StopTime = x, SE0StartTime = x
2169
# In  --> receive data = d2
2170
# In  --> StopTime = x, SE0StartTime = x
2171
# In  --> EOP asserted for 2 bit time at time 1528979000
2172
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2173
# CntrlTransType = 10
2174
# In  --> In task wait for response at time 1532118000
2175
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1532423000
2176
# In  --> Decoder enabled at time 1533138000 in host
2177
# In  --> receive data = 4b
2178
# In  --> receive data = 00
2179
# In  --> receive data = 00
2180
# In  --> receive data = 00
2181
# In  --> receive data = 8c
2182
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  ed
2183
# ... Read Data from UART done cnt :        13...
2184
# In  --> receive data = fe
2185
# In  --> StopTime = x, SE0StartTime = x
2186
# In  --> receive data = 7e
2187
# In  --> StopTime = x, SE0StartTime = x
2188
# In  --> EOP asserted for 2 bit time at time 1538114000
2189
# In  --> Data toggle recevied is 1001011 at time 1538198000
2190
# In  --> received byte[1] = 00000000
2191
# In  --> received byte[2] = 00000000
2192
# In  --> received byte[3] = 00000000
2193
# In  --> received byte[4] = 10001100
2194
# In  --> calculated crc is 8081 at time 1538198000.
2195
# In  --> received raw crc is 8081 at time 1538198000.
2196
# In  --> received crc is fe7e at time 1538198000.
2197
# In  --> tmpCrc fe7e, at time 1538198000
2198
# In  --> sending ACK at time 1540098000
2199
# RecvBuffer[0]  = 00000000  : 0
2200
# RecvBuffer[1]  = 00000000  : 0
2201
# RecvBuffer[2]  = 00000000  : 0
2202
# RecvBuffer[3]  = 10001100  : 140
2203
# In  --> In task wait for response at time 1546650000
2204
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1546934000
2205
# In  --> Decoder enabled at time 1547661000 in host
2206
# In  --> StopTime = x, SE0StartTime = x
2207
# In  --> receive data = d2
2208
# In  --> StopTime = x, SE0StartTime = x
2209
# In  --> EOP asserted for 2 bit time at time 1548446000
2210
# CntrlTransType = 11
2211
# In  --> In task wait for response at time 1560342000
2212
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1560626000
2213
# In  --> Decoder enabled at time 1561353000 in host
2214
# In  --> StopTime = x, SE0StartTime = x
2215
# In  --> receive data = d2
2216
# In  --> StopTime = x, SE0StartTime = x
2217
# In  --> EOP asserted for 2 bit time at time 1562138000
2218
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2219
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
2220
# In  --> DataToggle is 1
2221
# In  --> DataToggle is 4b at time 1565382000.
2222
# In  --> sending byte[1] = 00000000
2223
# In  --> sending byte[2] = 00000000
2224
# In  --> sending byte[3] = 00000000
2225
# In  --> sending byte[4] = 10001100
2226
# In  --> raw crc is 8081 at time             1565382
2227
# In  --> sent crc is fe7e at time             1565382
2228
# In  --> In task wait for response at time 1571514000
2229
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1571798000
2230
# In  --> Decoder enabled at time 1572523000 in host
2231
# In  --> StopTime = x, SE0StartTime = x
2232
# In  --> receive data = d2
2233
# In  --> StopTime = x, SE0StartTime = x
2234
# In  --> EOP asserted for 2 bit time at time 1573310000
2235
# In  --> bits received are 7
2236
# In  --> ACK received at time 1573310000.
2237
# Input Address:01, EndPt:0
2238
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
2239
# In  CntrlTransType = 11, WRITE = 11
2240
# In  --> In task wait for response at time 1576554000
2241
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1576922000
2242
# In  --> Decoder enabled at time 1577649000 in host
2243
# In  --> receive data = 4b
2244
# In  --> receive data = 00
2245
# In  --> StopTime = x, SE0StartTime = x
2246
# In  --> receive data = 00
2247
# In  --> StopTime = x, SE0StartTime = x
2248
# In  --> EOP asserted for 2 bit time at time 1579778000
2249
#
2250
# ... Reading the UART Status: 0000008e ...
2251
# ... Write data f2 to UART done cnt :         23 ...
2252
#
2253
#
2254
# ... Writing char 206 ...
2255
# CntrlTransType = 10
2256
# In  --> In task wait for response at time 1593606000
2257
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1593890000
2258
# In  --> Decoder enabled at time 1594616000 in host
2259
# In  --> StopTime = x, SE0StartTime = x
2260
# In  --> receive data = d2
2261
# In  --> StopTime = x, SE0StartTime = x
2262
# In  --> EOP asserted for 2 bit time at time 1595402000
2263
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2264
# CntrlTransType = 10
2265
# In  --> In task wait for response at time 1598562000
2266
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1598930000
2267
# In  --> Decoder enabled at time 1599656000 in host
2268
# In  --> receive data = 4b
2269
# In  --> receive data = 00
2270
# In  --> receive data = 00
2271
# In  --> receive data = 00
2272
# In  --> receive data = 00
2273
# In  --> receive data = ff
2274
# In  --> StopTime = x, SE0StartTime = x
2275
# In  --> receive data = db
2276
# In  --> StopTime = x, SE0StartTime = x
2277
# In  --> EOP asserted for 2 bit time at time 1604537000
2278
# In  --> Data toggle recevied is 1001011 at time 1604621000
2279
# In  --> received byte[1] = 00000000
2280
# In  --> received byte[2] = 00000000
2281
# In  --> received byte[3] = 00000000
2282
# In  --> received byte[4] = 00000000
2283
# In  --> calculated crc is 24 at time 1604621000.
2284
# In  --> received raw crc is 24 at time 1604621000.
2285
# In  --> received crc is ffdb at time 1604621000.
2286
# In  --> tmpCrc ffdb, at time 1604621000
2287
# In  --> sending ACK at time 1606542000
2288
# RecvBuffer[0]  = 00000000  : 0
2289
# RecvBuffer[1]  = 00000000  : 0
2290
# RecvBuffer[2]  = 00000000  : 0
2291
# RecvBuffer[3]  = 00000000  : 0
2292
# In  --> In task wait for response at time 1613094000
2293
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1613399000
2294
# In  --> Decoder enabled at time 1614099000 in host
2295
# In  --> StopTime = x, SE0StartTime = x
2296
# In  --> receive data = d2
2297
# In  --> StopTime = x, SE0StartTime = x
2298
# In  --> EOP asserted for 2 bit time at time 1614911000
2299
# CntrlTransType = 10
2300
# In  --> In task wait for response at time 1626786000
2301
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1627091000
2302
# In  --> Decoder enabled at time 1627790000 in host
2303
# In  --> StopTime = x, SE0StartTime = x
2304
# In  --> receive data = d2
2305
# In  --> StopTime = x, SE0StartTime = x
2306
# In  --> EOP asserted for 2 bit time at time 1628603000
2307
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2308
# CntrlTransType = 10
2309
# In  --> In task wait for response at time 1631742000
2310
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1632131000
2311
# In  --> Decoder enabled at time 1632834000 in host
2312
# In  --> receive data = 4b
2313
# In  --> receive data = 00
2314
# In  --> receive data = 00
2315
# In  --> receive data = 00
2316
# In  --> receive data = f9
2317
# In  --> receive data = 3f
2318
# In  --> StopTime = x, SE0StartTime = x
2319
# In  --> receive data = 99
2320
# In  --> StopTime = x, SE0StartTime = x
2321
# In  --> EOP asserted for 2 bit time at time 1637717000
2322
# In  --> Data toggle recevied is 1001011 at time 1637801000
2323
# In  --> received byte[1] = 00000000
2324
# In  --> received byte[2] = 00000000
2325
# In  --> received byte[3] = 00000000
2326
# In  --> received byte[4] = 11111001
2327
# In  --> calculated crc is 366 at time 1637801000.
2328
# In  --> received raw crc is 366 at time 1637801000.
2329
# In  --> received crc is 3f99 at time 1637801000.
2330
# In  --> tmpCrc 3f99, at time 1637801000
2331
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  8c
2332
# ... Read Data from UART done cnt :        14...
2333
# In  --> sending ACK at time 1639722000
2334
# RecvBuffer[0]  = 00000000  : 0
2335
# RecvBuffer[1]  = 00000000  : 0
2336
# RecvBuffer[2]  = 00000000  : 0
2337
# RecvBuffer[3]  = 11111001  : 249
2338
# In  --> In task wait for response at time 1646274000
2339
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1646579000
2340
# In  --> Decoder enabled at time 1647276000 in host
2341
# In  --> StopTime = x, SE0StartTime = x
2342
# In  --> receive data = d2
2343
# In  --> StopTime = x, SE0StartTime = x
2344
# In  --> EOP asserted for 2 bit time at time 1648070000
2345
# ... Write data ce to UART done cnt :         24 ...
2346
#
2347
#
2348
# ... Writing char 232 ...
2349
# CntrlTransType = 11
2350
# In  --> In task wait for response at time 1659966000
2351
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1660250000
2352
# In  --> Decoder enabled at time 1660968000 in host
2353
# In  --> StopTime = x, SE0StartTime = x
2354
# In  --> receive data = d2
2355
# In  --> StopTime = x, SE0StartTime = x
2356
# In  --> EOP asserted for 2 bit time at time 1661762000
2357
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2358
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
2359
# In  --> DataToggle is 1
2360
# In  --> DataToggle is 4b at time 1665006000.
2361
# In  --> sending byte[1] = 00000000
2362
# In  --> sending byte[2] = 00000000
2363
# In  --> sending byte[3] = 00000000
2364
# In  --> sending byte[4] = 11111001
2365
# In  --> raw crc is 366 at time             1665006
2366
# In  --> sent crc is 3f99 at time             1665006
2367
# In  --> In task wait for response at time 1671054000
2368
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1671338000
2369
# In  --> Decoder enabled at time 1672055000 in host
2370
# In  --> StopTime = x, SE0StartTime = x
2371
# In  --> receive data = d2
2372
# In  --> StopTime = x, SE0StartTime = x
2373
# In  --> EOP asserted for 2 bit time at time 1672850000
2374
# In  --> bits received are 7
2375
# In  --> ACK received at time 1672850000.
2376
# Input Address:01, EndPt:0
2377
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
2378
# In  CntrlTransType = 11, WRITE = 11
2379
# In  --> In task wait for response at time 1676094000
2380
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1676462000
2381
# In  --> Decoder enabled at time 1677184000 in host
2382
# In  --> receive data = 4b
2383
# In  --> receive data = 00
2384
# In  --> StopTime = x, SE0StartTime = x
2385
# In  --> receive data = 00
2386
# In  --> StopTime = x, SE0StartTime = x
2387
# In  --> EOP asserted for 2 bit time at time 1679318000
2388
#
2389
# ... Reading the UART Status: 000000fb ...
2390
# CntrlTransType = 10
2391
# In  --> In task wait for response at time 1693146000
2392
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1693430000
2393
# In  --> Decoder enabled at time 1694166000 in host
2394
# In  --> StopTime = x, SE0StartTime = x
2395
# In  --> receive data = d2
2396
# In  --> StopTime = x, SE0StartTime = x
2397
# In  --> EOP asserted for 2 bit time at time 1694963000
2398
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2399
# CntrlTransType = 10
2400
# In  --> In task wait for response at time 1698102000
2401
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1698491000
2402
# In  --> Decoder enabled at time 1699188000 in host
2403
# In  --> receive data = 4b
2404
# In  --> receive data = 00
2405
# In  --> receive data = 00
2406
# In  --> receive data = 00
2407
# In  --> receive data = 00
2408
# In  --> receive data = ff
2409
# In  --> StopTime = x, SE0StartTime = x
2410
# In  --> receive data = db
2411
# In  --> StopTime = x, SE0StartTime = x
2412
# In  --> EOP asserted for 2 bit time at time 1704077000
2413
# In  --> Data toggle recevied is 1001011 at time 1704161000
2414
# In  --> received byte[1] = 00000000
2415
# In  --> received byte[2] = 00000000
2416
# In  --> received byte[3] = 00000000
2417
# In  --> received byte[4] = 00000000
2418
# In  --> calculated crc is 24 at time 1704161000.
2419
# In  --> received raw crc is 24 at time 1704161000.
2420
# In  --> received crc is ffdb at time 1704161000.
2421
# In  --> tmpCrc ffdb, at time 1704161000
2422
# In  --> sending ACK at time 1706082000
2423
# RecvBuffer[0]  = 00000000  : 0
2424
# RecvBuffer[1]  = 00000000  : 0
2425
# RecvBuffer[2]  = 00000000  : 0
2426
# RecvBuffer[3]  = 00000000  : 0
2427
# In  --> In task wait for response at time 1712634000
2428
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1712939000
2429
# In  --> Decoder enabled at time 1713654000 in host
2430
# In  --> StopTime = x, SE0StartTime = x
2431
# In  --> receive data = d2
2432
# In  --> StopTime = x, SE0StartTime = x
2433
# In  --> EOP asserted for 2 bit time at time 1714451000
2434
# ... Write data e8 to UART done cnt :         25 ...
2435
#
2436
#
2437
# ... Writing char 197 ...
2438
# CntrlTransType = 10
2439
# In  --> In task wait for response at time 1726326000
2440
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1726631000
2441
# In  --> Decoder enabled at time 1727346000 in host
2442
# In  --> StopTime = x, SE0StartTime = x
2443
# In  --> receive data = d2
2444
# In  --> StopTime = x, SE0StartTime = x
2445
# In  --> EOP asserted for 2 bit time at time 1728143000
2446
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2447
# CntrlTransType = 10
2448
# In  --> In task wait for response at time 1731282000
2449
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1731671000
2450
# In  --> Decoder enabled at time 1732366000 in host
2451
# In  --> receive data = 4b
2452
# In  --> receive data = 00
2453
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  f9
2454
# ... Read Data from UART done cnt :        15...
2455
# In  --> receive data = 00
2456
# In  --> receive data = 00
2457
# In  --> receive data = c6
2458
# In  --> receive data = 7f
2459
# In  --> StopTime = x, SE0StartTime = x
2460
# In  --> receive data = 89
2461
# In  --> StopTime = x, SE0StartTime = x
2462
# In  --> EOP asserted for 2 bit time at time 1737257000
2463
# In  --> Data toggle recevied is 1001011 at time 1737341000
2464
# In  --> received byte[1] = 00000000
2465
# In  --> received byte[2] = 00000000
2466
# In  --> received byte[3] = 00000000
2467
# In  --> received byte[4] = 11000110
2468
# In  --> calculated crc is 16e at time 1737341000.
2469
# In  --> received raw crc is 16e at time 1737341000.
2470
# In  --> received crc is 7f89 at time 1737341000.
2471
# In  --> tmpCrc 7f89, at time 1737341000
2472
# In  --> sending ACK at time 1739262000
2473
# RecvBuffer[0]  = 00000000  : 0
2474
# RecvBuffer[1]  = 00000000  : 0
2475
# RecvBuffer[2]  = 00000000  : 0
2476
# RecvBuffer[3]  = 11000110  : 198
2477
# In  --> In task wait for response at time 1745814000
2478
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1746119000
2479
# In  --> Decoder enabled at time 1746829000 in host
2480
# In  --> StopTime = x, SE0StartTime = x
2481
# In  --> receive data = d2
2482
# In  --> StopTime = x, SE0StartTime = x
2483
# In  --> EOP asserted for 2 bit time at time 1747631000
2484
# CntrlTransType = 11
2485
# In  --> In task wait for response at time 1759506000
2486
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1759811000
2487
# In  --> Decoder enabled at time 1760520000 in host
2488
# In  --> StopTime = x, SE0StartTime = x
2489
# In  --> receive data = d2
2490
# In  --> StopTime = x, SE0StartTime = x
2491
# In  --> EOP asserted for 2 bit time at time 1761323000
2492
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2493
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
2494
# In  --> DataToggle is 1
2495
# In  --> DataToggle is 4b at time 1764546000.
2496
# In  --> sending byte[1] = 00000000
2497
# In  --> sending byte[2] = 00000000
2498
# In  --> sending byte[3] = 00000000
2499
# In  --> sending byte[4] = 11000110
2500
# In  --> raw crc is 16e at time             1764546
2501
# In  --> sent crc is 7f89 at time             1764546
2502
# In  --> In task wait for response at time 1770594000
2503
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1770899000
2504
# In  --> Decoder enabled at time 1771607000 in host
2505
# In  --> StopTime = x, SE0StartTime = x
2506
# In  --> receive data = d2
2507
# In  --> StopTime = x, SE0StartTime = x
2508
# In  --> EOP asserted for 2 bit time at time 1772411000
2509
# In  --> bits received are 7
2510
# In  --> ACK received at time 1772411000.
2511
# Input Address:01, EndPt:0
2512
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
2513
# In  CntrlTransType = 11, WRITE = 11
2514
# In  --> In task wait for response at time 1775634000
2515
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1775939000
2516
# In  --> Decoder enabled at time 1776651000 in host
2517
# In  --> receive data = 4b
2518
# In  --> receive data = 00
2519
# In  --> StopTime = x, SE0StartTime = x
2520
# In  --> receive data = 00
2521
# In  --> StopTime = x, SE0StartTime = x
2522
# In  --> EOP asserted for 2 bit time at time 1778795000
2523
#
2524
# ... Reading the UART Status: 000000c6 ...
2525
# ... Write data c5 to UART done cnt :         26 ...
2526
#
2527
#
2528
# ... Writing char  92 ...
2529
# CntrlTransType = 10
2530
# In  --> In task wait for response at time 1792602000
2531
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1792907000
2532
# In  --> Decoder enabled at time 1793614000 in host
2533
# In  --> StopTime = x, SE0StartTime = x
2534
# In  --> receive data = d2
2535
# In  --> StopTime = x, SE0StartTime = x
2536
# In  --> EOP asserted for 2 bit time at time 1794419000
2537
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2538
# CntrlTransType = 10
2539
# In  --> In task wait for response at time 1797558000
2540
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1797947000
2541
# In  --> Decoder enabled at time 1798658000 in host
2542
# In  --> receive data = 4b
2543
# In  --> receive data = 00
2544
# In  --> receive data = 00
2545
# In  --> receive data = 00
2546
# In  --> receive data = 00
2547
# In  --> receive data = ff
2548
# In  --> StopTime = x, SE0StartTime = x
2549
# In  --> receive data = db
2550
# In  --> StopTime = x, SE0StartTime = x
2551
# In  --> EOP asserted for 2 bit time at time 1803554000
2552
# In  --> Data toggle recevied is 1001011 at time 1803638000
2553
# In  --> received byte[1] = 00000000
2554
# In  --> received byte[2] = 00000000
2555
# In  --> received byte[3] = 00000000
2556
# In  --> received byte[4] = 00000000
2557
# In  --> calculated crc is 24 at time 1803638000.
2558
# In  --> received raw crc is 24 at time 1803638000.
2559
# In  --> received crc is ffdb at time 1803638000.
2560
# In  --> tmpCrc ffdb, at time 1803638000
2561
# In  --> sending ACK at time 1805538000
2562
# RecvBuffer[0]  = 00000000  : 0
2563
# RecvBuffer[1]  = 00000000  : 0
2564
# RecvBuffer[2]  = 00000000  : 0
2565
# RecvBuffer[3]  = 00000000  : 0
2566
# In  --> In task wait for response at time 1812090000
2567
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1812374000
2568
# In  --> Decoder enabled at time 1813100000 in host
2569
# In  --> StopTime = x, SE0StartTime = x
2570
# In  --> receive data = d2
2571
# In  --> StopTime = x, SE0StartTime = x
2572
# In  --> EOP asserted for 2 bit time at time 1813886000
2573
# CntrlTransType = 10
2574
# In  --> In task wait for response at time 1825782000
2575
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1826066000
2576
# In  --> Decoder enabled at time 1826792000 in host
2577
# In  --> StopTime = x, SE0StartTime = x
2578
# In  --> receive data = d2
2579
# In  --> StopTime = x, SE0StartTime = x
2580
# In  --> EOP asserted for 2 bit time at time 1827578000
2581
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2582
# CntrlTransType = 10
2583
# In  --> In task wait for response at time 1830738000
2584
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1831106000
2585
# In  --> Decoder enabled at time 1831835000 in host
2586
# In  --> receive data = 4b
2587
# In  --> receive data = 00
2588
# In  --> receive data = 00
2589
# In  --> receive data = 00
2590
# In  --> receive data = c5
2591
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  c6
2592
# ... Read Data from UART done cnt :        16...
2593
# In  --> receive data = 3f
2594
# In  --> StopTime = x, SE0StartTime = x
2595
# In  --> receive data = 88
2596
# In  --> StopTime = x, SE0StartTime = x
2597
# In  --> EOP asserted for 2 bit time at time 1836713000
2598
# In  --> Data toggle recevied is 1001011 at time 1836797000
2599
# In  --> received byte[1] = 00000000
2600
# In  --> received byte[2] = 00000000
2601
# In  --> received byte[3] = 00000000
2602
# In  --> received byte[4] = 11000101
2603
# In  --> calculated crc is 3ee at time 1836797000.
2604
# In  --> received raw crc is 3ee at time 1836797000.
2605
# In  --> received crc is 3f88 at time 1836797000.
2606
# In  --> tmpCrc 3f88, at time 1836797000
2607
# In  --> sending ACK at time 1838718000
2608
# RecvBuffer[0]  = 00000000  : 0
2609
# RecvBuffer[1]  = 00000000  : 0
2610
# RecvBuffer[2]  = 00000000  : 0
2611
# RecvBuffer[3]  = 11000101  : 197
2612
# In  --> In task wait for response at time 1845270000
2613
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1845575000
2614
# ... Write data 5c to UART done cnt :         27 ...
2615
#
2616
#
2617
# ... Writing char 189 ...
2618
# In  --> Decoder enabled at time 1846280000 in host
2619
# In  --> StopTime = x, SE0StartTime = x
2620
# In  --> receive data = d2
2621
# In  --> StopTime = x, SE0StartTime = x
2622
# In  --> EOP asserted for 2 bit time at time 1847087000
2623
# CntrlTransType = 11
2624
# In  --> In task wait for response at time 1858962000
2625
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1859267000
2626
# In  --> Decoder enabled at time 1859969000 in host
2627
# In  --> StopTime = x, SE0StartTime = x
2628
# In  --> receive data = d2
2629
# In  --> StopTime = x, SE0StartTime = x
2630
# In  --> EOP asserted for 2 bit time at time 1860779000
2631
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2632
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
2633
# In  --> DataToggle is 1
2634
# In  --> DataToggle is 4b at time 1864002000.
2635
# In  --> sending byte[1] = 00000000
2636
# In  --> sending byte[2] = 00000000
2637
# In  --> sending byte[3] = 00000000
2638
# In  --> sending byte[4] = 11000101
2639
# In  --> raw crc is 3ee at time             1864002
2640
# In  --> sent crc is 3f88 at time             1864002
2641
# In  --> In task wait for response at time 1870050000
2642
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1870355000
2643
# In  --> Decoder enabled at time 1871056000 in host
2644
# In  --> StopTime = x, SE0StartTime = x
2645
# In  --> receive data = d2
2646
# In  --> StopTime = x, SE0StartTime = x
2647
# In  --> EOP asserted for 2 bit time at time 1871867000
2648
# In  --> bits received are 7
2649
# In  --> ACK received at time 1871867000.
2650
# Input Address:01, EndPt:0
2651
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
2652
# In  CntrlTransType = 11, WRITE = 11
2653
# In  --> In task wait for response at time 1875090000
2654
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1875479000
2655
# In  --> Decoder enabled at time 1876182000 in host
2656
# In  --> receive data = 4b
2657
# In  --> receive data = 00
2658
# In  --> StopTime = x, SE0StartTime = x
2659
# In  --> receive data = 00
2660
# In  --> StopTime = x, SE0StartTime = x
2661
# In  --> EOP asserted for 2 bit time at time 1878314000
2662
#
2663
# ... Reading the UART Status: 000000c7 ...
2664
# CntrlTransType = 10
2665
# In  --> In task wait for response at time 1892142000
2666
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1892426000
2667
# In  --> Decoder enabled at time 1893149000 in host
2668
# In  --> StopTime = x, SE0StartTime = x
2669
# In  --> receive data = d2
2670
# In  --> StopTime = x, SE0StartTime = x
2671
# In  --> EOP asserted for 2 bit time at time 1893938000
2672
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2673
# CntrlTransType = 10
2674
# In  --> In task wait for response at time 1897098000
2675
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1897466000
2676
# In  --> Decoder enabled at time 1898189000 in host
2677
# In  --> receive data = 4b
2678
# In  --> receive data = 00
2679
# In  --> receive data = 00
2680
# In  --> receive data = 00
2681
# In  --> receive data = 00
2682
# In  --> receive data = ff
2683
# In  --> StopTime = x, SE0StartTime = x
2684
# In  --> receive data = db
2685
# In  --> StopTime = x, SE0StartTime = x
2686
# In  --> EOP asserted for 2 bit time at time 1903073000
2687
# In  --> Data toggle recevied is 1001011 at time 1903157000
2688
# In  --> received byte[1] = 00000000
2689
# In  --> received byte[2] = 00000000
2690
# In  --> received byte[3] = 00000000
2691
# In  --> received byte[4] = 00000000
2692
# In  --> calculated crc is 24 at time 1903157000.
2693
# In  --> received raw crc is 24 at time 1903157000.
2694
# In  --> received crc is ffdb at time 1903157000.
2695
# In  --> tmpCrc ffdb, at time 1903157000
2696
# In  --> sending ACK at time 1905078000
2697
# RecvBuffer[0]  = 00000000  : 0
2698
# RecvBuffer[1]  = 00000000  : 0
2699
# RecvBuffer[2]  = 00000000  : 0
2700
# RecvBuffer[3]  = 00000000  : 0
2701
# ... Write data bd to UART done cnt :         28 ...
2702
#
2703
#
2704
# ... Writing char  45 ...
2705
# In  --> In task wait for response at time 1911630000
2706
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1911935000
2707
# In  --> Decoder enabled at time 1912632000 in host
2708
# In  --> StopTime = x, SE0StartTime = x
2709
# In  --> receive data = d2
2710
# In  --> StopTime = x, SE0StartTime = x
2711
# In  --> EOP asserted for 2 bit time at time 1913426000
2712
# CntrlTransType = 10
2713
# In  --> In task wait for response at time 1925322000
2714
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1925606000
2715
# In  --> Decoder enabled at time 1926323000 in host
2716
# In  --> StopTime = x, SE0StartTime = x
2717
# In  --> receive data = d2
2718
# In  --> StopTime = x, SE0StartTime = x
2719
# In  --> EOP asserted for 2 bit time at time 1927118000
2720
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2721
# CntrlTransType = 10
2722
# In  --> In task wait for response at time 1930278000
2723
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1930646000
2724
# In  --> Decoder enabled at time 1931367000 in host
2725
# In  --> receive data = 4b
2726
# In  --> receive data = 00
2727
# In  --> receive data = 00
2728
# In  --> receive data = 00
2729
# In  --> receive data = aa
2730
# In  --> receive data = 7f
2731
# In  --> StopTime = x, SE0StartTime = x
2732
# In  --> receive data = a4
2733
# In  --> StopTime = x, SE0StartTime = x
2734
# In  --> EOP asserted for 2 bit time at time 1936253000
2735
# In  --> Data toggle recevied is 1001011 at time 1936337000
2736
# In  --> received byte[1] = 00000000
2737
# In  --> received byte[2] = 00000000
2738
# In  --> received byte[3] = 00000000
2739
# In  --> received byte[4] = 10101010
2740
# In  --> calculated crc is 1da at time 1936337000.
2741
# In  --> received raw crc is 1da at time 1936337000.
2742
# In  --> received crc is 7fa4 at time 1936337000.
2743
# In  --> tmpCrc 7fa4, at time 1936337000
2744
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  c5
2745
# ... Read Data from UART done cnt :        17...
2746
# In  --> sending ACK at time 1938258000
2747
# RecvBuffer[0]  = 00000000  : 0
2748
# RecvBuffer[1]  = 00000000  : 0
2749
# RecvBuffer[2]  = 00000000  : 0
2750
# RecvBuffer[3]  = 10101010  : 170
2751
# In  --> In task wait for response at time 1944810000
2752
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1945115000
2753
# In  --> Decoder enabled at time 1945830000 in host
2754
# In  --> StopTime = x, SE0StartTime = x
2755
# In  --> receive data = d2
2756
# In  --> StopTime = x, SE0StartTime = x
2757
# In  --> EOP asserted for 2 bit time at time 1946627000
2758
# CntrlTransType = 11
2759
# In  --> In task wait for response at time 1958502000
2760
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1958807000
2761
# In  --> Decoder enabled at time 1959522000 in host
2762
# In  --> StopTime = x, SE0StartTime = x
2763
# In  --> receive data = d2
2764
# In  --> StopTime = x, SE0StartTime = x
2765
# In  --> EOP asserted for 2 bit time at time 1960319000
2766
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2767
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
2768
# In  --> DataToggle is 1
2769
# In  --> DataToggle is 4b at time 1963542000.
2770
# In  --> sending byte[1] = 00000000
2771
# In  --> sending byte[2] = 00000000
2772
# In  --> sending byte[3] = 00000000
2773
# In  --> sending byte[4] = 10101010
2774
# In  --> raw crc is 1da at time             1963542
2775
# In  --> sent crc is 7fa4 at time             1963542
2776
# In  --> In task wait for response at time 1969590000
2777
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1969895000
2778
# In  --> Decoder enabled at time 1970608000 in host
2779
# In  --> StopTime = x, SE0StartTime = x
2780
# In  --> receive data = d2
2781
# In  --> StopTime = x, SE0StartTime = x
2782
# In  --> EOP asserted for 2 bit time at time 1971407000
2783
# In  --> bits received are 7
2784
# In  --> ACK received at time 1971407000.
2785
# Input Address:01, EndPt:0
2786
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
2787
# In  CntrlTransType = 11, WRITE = 11
2788
# ... Write data 2d to UART done cnt :         29 ...
2789
#
2790
#
2791
# ... Writing char 101 ...
2792
# In  --> In task wait for response at time 1974630000
2793
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1975019000
2794
# In  --> Decoder enabled at time 1975717000 in host
2795
# In  --> receive data = 4b
2796
# In  --> receive data = 00
2797
# In  --> StopTime = x, SE0StartTime = x
2798
# In  --> receive data = 00
2799
# In  --> StopTime = x, SE0StartTime = x
2800
# In  --> EOP asserted for 2 bit time at time 1977854000
2801
#
2802
# ... Reading the UART Status: 000000aa ...
2803
# CntrlTransType = 10
2804
# In  --> In task wait for response at time 1991682000
2805
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1991966000
2806
# In  --> Decoder enabled at time 1992699000 in host
2807
# In  --> StopTime = x, SE0StartTime = x
2808
# In  --> receive data = d2
2809
# In  --> StopTime = x, SE0StartTime = x
2810
# In  --> EOP asserted for 2 bit time at time 1993499000
2811
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2812
# CntrlTransType = 10
2813
# In  --> In task wait for response at time 1996638000
2814
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1997027000
2815
# In  --> Decoder enabled at time 1997721000 in host
2816
# In  --> receive data = 4b
2817
# In  --> receive data = 00
2818
# In  --> receive data = 00
2819
# In  --> receive data = 00
2820
# In  --> receive data = 00
2821
# In  --> receive data = ff
2822
# In  --> StopTime = x, SE0StartTime = x
2823
# In  --> receive data = db
2824
# In  --> StopTime = x, SE0StartTime = x
2825
# In  --> EOP asserted for 2 bit time at time 2002613000
2826
# In  --> Data toggle recevied is 1001011 at time 2002697000
2827
# In  --> received byte[1] = 00000000
2828
# In  --> received byte[2] = 00000000
2829
# In  --> received byte[3] = 00000000
2830
# In  --> received byte[4] = 00000000
2831
# In  --> calculated crc is 24 at time 2002697000.
2832
# In  --> received raw crc is 24 at time 2002697000.
2833
# In  --> received crc is ffdb at time 2002697000.
2834
# In  --> tmpCrc ffdb, at time 2002697000
2835
# In  --> sending ACK at time 2004618000
2836
# RecvBuffer[0]  = 00000000  : 0
2837
# RecvBuffer[1]  = 00000000  : 0
2838
# RecvBuffer[2]  = 00000000  : 0
2839
# RecvBuffer[3]  = 00000000  : 0
2840
# In  --> In task wait for response at time 2011170000
2841
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2011475000
2842
# In  --> Decoder enabled at time 2012187000 in host
2843
# In  --> StopTime = x, SE0StartTime = x
2844
# In  --> receive data = d2
2845
# In  --> StopTime = x, SE0StartTime = x
2846
# In  --> EOP asserted for 2 bit time at time 2012987000
2847
# CntrlTransType = 10
2848
# In  --> In task wait for response at time 2024862000
2849
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2025167000
2850
# In  --> Decoder enabled at time 2025879000 in host
2851
# In  --> StopTime = x, SE0StartTime = x
2852
# In  --> receive data = d2
2853
# In  --> StopTime = x, SE0StartTime = x
2854
# In  --> EOP asserted for 2 bit time at time 2026679000
2855
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2856
# CntrlTransType = 10
2857
# In  --> In task wait for response at time 2029818000
2858
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2030123000
2859
# In  --> Decoder enabled at time 2030839000 in host
2860
# In  --> receive data = 4b
2861
# In  --> receive data = 00
2862
# In  --> receive data = 00
2863
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  aa
2864
# ... Read Data from UART done cnt :        18...
2865
# In  --> receive data = 00
2866
# In  --> receive data = e5
2867
# In  --> receive data = 3e
2868
# In  --> StopTime = x, SE0StartTime = x
2869
# In  --> receive data = 50
2870
# In  --> StopTime = x, SE0StartTime = x
2871
# In  --> EOP asserted for 2 bit time at time 2035646000
2872
# In  --> Data toggle recevied is 1001011 at time 2035730000
2873
# In  --> received byte[1] = 00000000
2874
# In  --> received byte[2] = 00000000
2875
# In  --> received byte[3] = 00000000
2876
# In  --> received byte[4] = 11100101
2877
# In  --> calculated crc is 83f5 at time 2035730000.
2878
# In  --> received raw crc is 83f5 at time 2035730000.
2879
# In  --> received crc is 3e50 at time 2035730000.
2880
# In  --> tmpCrc 3e50, at time 2035730000
2881
# In  --> sending ACK at time 2037630000
2882
# RecvBuffer[0]  = 00000000  : 0
2883
# RecvBuffer[1]  = 00000000  : 0
2884
# RecvBuffer[2]  = 00000000  : 0
2885
# RecvBuffer[3]  = 11100101  : 229
2886
# ... Write data 65 to UART done cnt :         30 ...
2887
#
2888
#
2889
# ... Writing char  99 ...
2890
# In  --> In task wait for response at time 2044182000
2891
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2044466000
2892
# In  --> Decoder enabled at time 2045195000 in host
2893
# In  --> StopTime = x, SE0StartTime = x
2894
# In  --> receive data = d2
2895
# In  --> StopTime = x, SE0StartTime = x
2896
# In  --> EOP asserted for 2 bit time at time 2045999000
2897
# CntrlTransType = 11
2898
# In  --> In task wait for response at time 2057874000
2899
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2058179000
2900
# In  --> Decoder enabled at time 2058887000 in host
2901
# In  --> StopTime = x, SE0StartTime = x
2902
# In  --> receive data = d2
2903
# In  --> StopTime = x, SE0StartTime = x
2904
# In  --> EOP asserted for 2 bit time at time 2059691000
2905
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2906
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
2907
# In  --> DataToggle is 1
2908
# In  --> DataToggle is 4b at time 2062914000.
2909
# In  --> sending byte[1] = 00000000
2910
# In  --> sending byte[2] = 00000000
2911
# In  --> sending byte[3] = 00000000
2912
# In  --> sending byte[4] = 11100101
2913
# In  --> raw crc is 83f5 at time             2062914
2914
# In  --> sent crc is 3e50 at time             2062914
2915
# In  --> In task wait for response at time 2068878000
2916
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2069183000
2917
# In  --> Decoder enabled at time 2069893000 in host
2918
# In  --> StopTime = x, SE0StartTime = x
2919
# In  --> receive data = d2
2920
# In  --> StopTime = x, SE0StartTime = x
2921
# In  --> EOP asserted for 2 bit time at time 2070695000
2922
# In  --> bits received are 7
2923
# In  --> ACK received at time 2070695000.
2924
# Input Address:01, EndPt:0
2925
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
2926
# In  CntrlTransType = 11, WRITE = 11
2927
# In  --> In task wait for response at time 2073918000
2928
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2074307000
2929
# In  --> Decoder enabled at time 2075017000 in host
2930
# In  --> receive data = 4b
2931
# In  --> receive data = 00
2932
# In  --> StopTime = x, SE0StartTime = x
2933
# In  --> receive data = 00
2934
# In  --> StopTime = x, SE0StartTime = x
2935
# In  --> EOP asserted for 2 bit time at time 2077163000
2936
#
2937
# ... Reading the UART Status: 000000e7 ...
2938
# CntrlTransType = 10
2939
# In  --> In task wait for response at time 2090970000
2940
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2091275000
2941
# In  --> Decoder enabled at time 2091981000 in host
2942
# In  --> StopTime = x, SE0StartTime = x
2943
# In  --> receive data = d2
2944
# In  --> StopTime = x, SE0StartTime = x
2945
# In  --> EOP asserted for 2 bit time at time 2092787000
2946
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2947
# CntrlTransType = 10
2948
# In  --> In task wait for response at time 2095926000
2949
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2096315000
2950
# In  --> Decoder enabled at time 2097024000 in host
2951
# In  --> receive data = 4b
2952
# In  --> receive data = 00
2953
# In  --> receive data = 00
2954
# In  --> receive data = 00
2955
# In  --> receive data = 00
2956
# In  --> receive data = ff
2957
# In  --> StopTime = x, SE0StartTime = x
2958
# In  --> receive data = db
2959
# In  --> StopTime = x, SE0StartTime = x
2960
# In  --> EOP asserted for 2 bit time at time 2101922000
2961
# In  --> Data toggle recevied is 1001011 at time 2102006000
2962
# In  --> received byte[1] = 00000000
2963
# In  --> received byte[2] = 00000000
2964
# In  --> received byte[3] = 00000000
2965
# In  --> received byte[4] = 00000000
2966
# In  --> calculated crc is 24 at time 2102006000.
2967
# In  --> received raw crc is 24 at time 2102006000.
2968
# In  --> received crc is ffdb at time 2102006000.
2969
# In  --> tmpCrc ffdb, at time 2102006000
2970
# ... Write data 63 to UART done cnt :         31 ...
2971
#
2972
#
2973
# ... Writing char  10 ...
2974
# In  --> sending ACK at time 2103906000
2975
# RecvBuffer[0]  = 00000000  : 0
2976
# RecvBuffer[1]  = 00000000  : 0
2977
# RecvBuffer[2]  = 00000000  : 0
2978
# RecvBuffer[3]  = 00000000  : 0
2979
# In  --> In task wait for response at time 2110458000
2980
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2110742000
2981
# In  --> Decoder enabled at time 2111469000 in host
2982
# In  --> StopTime = x, SE0StartTime = x
2983
# In  --> receive data = d2
2984
# In  --> StopTime = x, SE0StartTime = x
2985
# In  --> EOP asserted for 2 bit time at time 2112254000
2986
# CntrlTransType = 10
2987
# In  --> In task wait for response at time 2124150000
2988
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2124434000
2989
# In  --> Decoder enabled at time 2125158000 in host
2990
# In  --> StopTime = x, SE0StartTime = x
2991
# In  --> receive data = d2
2992
# In  --> StopTime = x, SE0StartTime = x
2993
# In  --> EOP asserted for 2 bit time at time 2125946000
2994
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2995
# CntrlTransType = 10
2996
# In  --> In task wait for response at time 2129106000
2997
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2129474000
2998
# In  --> Decoder enabled at time 2130204000 in host
2999
# In  --> receive data = 4b
3000
# In  --> receive data = 00
3001
# In  --> receive data = 00
3002
# In  --> receive data = 00
3003
# In  --> receive data = 77
3004
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  e5
3005
# ... Read Data from UART done cnt :        19...
3006
# In  --> receive data = bf
3007
# In  --> StopTime = x, SE0StartTime = x
3008
# In  --> receive data = fd
3009
# In  --> StopTime = x, SE0StartTime = x
3010
# In  --> EOP asserted for 2 bit time at time 2135081000
3011
# In  --> Data toggle recevied is 1001011 at time 2135165000
3012
# In  --> received byte[1] = 00000000
3013
# In  --> received byte[2] = 00000000
3014
# In  --> received byte[3] = 00000000
3015
# In  --> received byte[4] = 01110111
3016
# In  --> calculated crc is 240 at time 2135165000.
3017
# In  --> received raw crc is 240 at time 2135165000.
3018
# In  --> received crc is bffd at time 2135165000.
3019
# In  --> tmpCrc bffd, at time 2135165000
3020
# In  --> sending ACK at time 2137086000
3021
# RecvBuffer[0]  = 00000000  : 0
3022
# RecvBuffer[1]  = 00000000  : 0
3023
# RecvBuffer[2]  = 00000000  : 0
3024
# RecvBuffer[3]  = 01110111  : 119
3025
# In  --> In task wait for response at time 2143638000
3026
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2143943000
3027
# In  --> Decoder enabled at time 2144643000 in host
3028
# In  --> StopTime = x, SE0StartTime = x
3029
# In  --> receive data = d2
3030
# In  --> StopTime = x, SE0StartTime = x
3031
# In  --> EOP asserted for 2 bit time at time 2145455000
3032
# CntrlTransType = 11
3033
# In  --> In task wait for response at time 2157330000
3034
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2157635000
3035
# In  --> Decoder enabled at time 2158338000 in host
3036
# In  --> StopTime = x, SE0StartTime = x
3037
# In  --> receive data = d2
3038
# In  --> StopTime = x, SE0StartTime = x
3039
# In  --> EOP asserted for 2 bit time at time 2159147000
3040
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3041
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
3042
# In  --> DataToggle is 1
3043
# In  --> DataToggle is 4b at time 2162370000.
3044
# In  --> sending byte[1] = 00000000
3045
# In  --> sending byte[2] = 00000000
3046
# In  --> sending byte[3] = 00000000
3047
# In  --> sending byte[4] = 01110111
3048
# In  --> raw crc is 240 at time             2162370
3049
# In  --> sent crc is bffd at time             2162370
3050
# ... Write data 0a to UART done cnt :         32 ...
3051
#
3052
#
3053
# ... Writing char 128 ...
3054
# In  --> In task wait for response at time 2168502000
3055
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2168807000
3056
# In  --> Decoder enabled at time 2169505000 in host
3057
# In  --> StopTime = x, SE0StartTime = x
3058
# In  --> receive data = d2
3059
# In  --> StopTime = x, SE0StartTime = x
3060
# In  --> EOP asserted for 2 bit time at time 2170319000
3061
# In  --> bits received are 7
3062
# In  --> ACK received at time 2170319000.
3063
# Input Address:01, EndPt:0
3064
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
3065
# In  CntrlTransType = 11, WRITE = 11
3066
# In  --> In task wait for response at time 2173542000
3067
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2173931000
3068
# In  --> Decoder enabled at time 2174635000 in host
3069
# In  --> receive data = 4b
3070
# In  --> receive data = 00
3071
# In  --> StopTime = x, SE0StartTime = x
3072
# In  --> receive data = 00
3073
# In  --> StopTime = x, SE0StartTime = x
3074
# In  --> EOP asserted for 2 bit time at time 2176766000
3075
#
3076
# ... Reading the UART Status: 00000077 ...
3077
# CntrlTransType = 10
3078
# In  --> In task wait for response at time 2190594000
3079
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2190878000
3080
# In  --> Decoder enabled at time 2191596000 in host
3081
# In  --> StopTime = x, SE0StartTime = x
3082
# In  --> receive data = d2
3083
# In  --> StopTime = x, SE0StartTime = x
3084
# In  --> EOP asserted for 2 bit time at time 2192390000
3085
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3086
# CntrlTransType = 10
3087
# In  --> In task wait for response at time 2195550000
3088
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2195918000
3089
# In  --> Decoder enabled at time 2196642000 in host
3090
# In  --> receive data = 4b
3091
# In  --> receive data = 00
3092
# In  --> receive data = 00
3093
# In  --> receive data = 00
3094
# In  --> receive data = 00
3095
# In  --> receive data = ff
3096
# In  --> StopTime = x, SE0StartTime = x
3097
# In  --> receive data = db
3098
# In  --> StopTime = x, SE0StartTime = x
3099
# In  --> EOP asserted for 2 bit time at time 2201525000
3100
# In  --> Data toggle recevied is 1001011 at time 2201609000
3101
# In  --> received byte[1] = 00000000
3102
# In  --> received byte[2] = 00000000
3103
# In  --> received byte[3] = 00000000
3104
# In  --> received byte[4] = 00000000
3105
# In  --> calculated crc is 24 at time 2201609000.
3106
# In  --> received raw crc is 24 at time 2201609000.
3107
# In  --> received crc is ffdb at time 2201609000.
3108
# In  --> tmpCrc ffdb, at time 2201609000
3109
# In  --> sending ACK at time 2203530000
3110
# RecvBuffer[0]  = 00000000  : 0
3111
# RecvBuffer[1]  = 00000000  : 0
3112
# RecvBuffer[2]  = 00000000  : 0
3113
# RecvBuffer[3]  = 00000000  : 0
3114
# In  --> In task wait for response at time 2210082000
3115
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2210387000
3116
# In  --> Decoder enabled at time 2211105000 in host
3117
# In  --> StopTime = x, SE0StartTime = x
3118
# In  --> receive data = d2
3119
# In  --> StopTime = x, SE0StartTime = x
3120
# In  --> EOP asserted for 2 bit time at time 2211899000
3121
# CntrlTransType = 10
3122
# In  --> In task wait for response at time 2223774000
3123
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2224079000
3124
# In  --> Decoder enabled at time 2224797000 in host
3125
# In  --> StopTime = x, SE0StartTime = x
3126
# In  --> receive data = d2
3127
# In  --> StopTime = x, SE0StartTime = x
3128
# In  --> EOP asserted for 2 bit time at time 2225591000
3129
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3130
# CntrlTransType = 10
3131
# In  --> In task wait for response at time 2228730000
3132
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2229119000
3133
# In  --> Decoder enabled at time 2229816000 in host
3134
# ... Write data 80 to UART done cnt :         33 ...
3135
#
3136
#
3137
# ... Writing char  32 ...
3138
# In  --> receive data = 4b
3139
# In  --> receive data = 00
3140
# In  --> receive data = 00
3141
# In  --> receive data = 00
3142
# In  --> receive data = 12
3143
# In  --> receive data = 7f
3144
# In  --> StopTime = x, SE0StartTime = x
3145
# In  --> receive data = d6
3146
# In  --> StopTime = x, SE0StartTime = x
3147
# In  --> EOP asserted for 2 bit time at time 2234705000
3148
# In  --> Data toggle recevied is 1001011 at time 2234789000
3149
# In  --> received byte[1] = 00000000
3150
# In  --> received byte[2] = 00000000
3151
# In  --> received byte[3] = 00000000
3152
# In  --> received byte[4] = 00010010
3153
# In  --> calculated crc is 194 at time 2234789000.
3154
# In  --> received raw crc is 194 at time 2234789000.
3155
# In  --> received crc is 7fd6 at time 2234789000.
3156
# In  --> tmpCrc 7fd6, at time 2234789000
3157
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  77
3158
# ... Read Data from UART done cnt :        20...
3159
# In  --> sending ACK at time 2236710000
3160
# RecvBuffer[0]  = 00000000  : 0
3161
# RecvBuffer[1]  = 00000000  : 0
3162
# RecvBuffer[2]  = 00000000  : 0
3163
# RecvBuffer[3]  = 00010010  : 18
3164
# In  --> In task wait for response at time 2243262000
3165
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2243567000
3166
# In  --> Decoder enabled at time 2244279000 in host
3167
# In  --> StopTime = x, SE0StartTime = x
3168
# In  --> receive data = d2
3169
# In  --> StopTime = x, SE0StartTime = x
3170
# In  --> EOP asserted for 2 bit time at time 2245079000
3171
# CntrlTransType = 11
3172
# In  --> In task wait for response at time 2256954000
3173
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2257259000
3174
# In  --> Decoder enabled at time 2257974000 in host
3175
# In  --> StopTime = x, SE0StartTime = x
3176
# In  --> receive data = d2
3177
# In  --> StopTime = x, SE0StartTime = x
3178
# In  --> EOP asserted for 2 bit time at time 2258771000
3179
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3180
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
3181
# In  --> DataToggle is 1
3182
# In  --> DataToggle is 4b at time 2261994000.
3183
# In  --> sending byte[1] = 00000000
3184
# In  --> sending byte[2] = 00000000
3185
# In  --> sending byte[3] = 00000000
3186
# In  --> sending byte[4] = 00010010
3187
# In  --> raw crc is 194 at time             2261994
3188
# In  --> sent crc is 7fd6 at time             2261994
3189
# In  --> In task wait for response at time 2268042000
3190
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2268347000
3191
# In  --> Decoder enabled at time 2269061000 in host
3192
# In  --> StopTime = x, SE0StartTime = x
3193
# In  --> receive data = d2
3194
# In  --> StopTime = x, SE0StartTime = x
3195
# In  --> EOP asserted for 2 bit time at time 2269859000
3196
# In  --> bits received are 7
3197
# In  --> ACK received at time 2269859000.
3198
# Input Address:01, EndPt:0
3199
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
3200
# In  CntrlTransType = 11, WRITE = 11
3201
# In  --> In task wait for response at time 2273082000
3202
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2273471000
3203
# In  --> Decoder enabled at time 2274185000 in host
3204
# In  --> receive data = 4b
3205
# In  --> receive data = 00
3206
# In  --> StopTime = x, SE0StartTime = x
3207
# In  --> receive data = 00
3208
# In  --> StopTime = x, SE0StartTime = x
3209
# In  --> EOP asserted for 2 bit time at time 2276327000
3210
#
3211
# ... Reading the UART Status: 00000012 ...
3212
# CntrlTransType = 10
3213
# In  --> In task wait for response at time 2290134000
3214
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2290439000
3215
# In  --> Decoder enabled at time 2291149000 in host
3216
# In  --> StopTime = x, SE0StartTime = x
3217
# In  --> receive data = d2
3218
# In  --> StopTime = x, SE0StartTime = x
3219
# In  --> EOP asserted for 2 bit time at time 2291951000
3220
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3221
# CntrlTransType = 10
3222
# ... Write data 20 to UART done cnt :         34 ...
3223
#
3224
#
3225
# ... Writing char 170 ...
3226
# In  --> In task wait for response at time 2295090000
3227
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2295395000
3228
# In  --> Decoder enabled at time 2296108000 in host
3229
# In  --> receive data = 4b
3230
# In  --> receive data = 00
3231
# In  --> receive data = 00
3232
# In  --> receive data = 00
3233
# In  --> receive data = 00
3234
# In  --> receive data = ff
3235
# In  --> StopTime = x, SE0StartTime = x
3236
# In  --> receive data = db
3237
# In  --> StopTime = x, SE0StartTime = x
3238
# In  --> EOP asserted for 2 bit time at time 2301002000
3239
# In  --> Data toggle recevied is 1001011 at time 2301086000
3240
# In  --> received byte[1] = 00000000
3241
# In  --> received byte[2] = 00000000
3242
# In  --> received byte[3] = 00000000
3243
# In  --> received byte[4] = 00000000
3244
# In  --> calculated crc is 24 at time 2301086000.
3245
# In  --> received raw crc is 24 at time 2301086000.
3246
# In  --> received crc is ffdb at time 2301086000.
3247
# In  --> tmpCrc ffdb, at time 2301086000
3248
# In  --> sending ACK at time 2302986000
3249
# RecvBuffer[0]  = 00000000  : 0
3250
# RecvBuffer[1]  = 00000000  : 0
3251
# RecvBuffer[2]  = 00000000  : 0
3252
# RecvBuffer[3]  = 00000000  : 0
3253
# In  --> In task wait for response at time 2309538000
3254
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2309822000
3255
# In  --> Decoder enabled at time 2310551000 in host
3256
# In  --> StopTime = x, SE0StartTime = x
3257
# In  --> receive data = d2
3258
# In  --> StopTime = x, SE0StartTime = x
3259
# In  --> EOP asserted for 2 bit time at time 2311355000
3260
# CntrlTransType = 10
3261
# In  --> In task wait for response at time 2323230000
3262
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2323535000
3263
# In  --> Decoder enabled at time 2324242000 in host
3264
# In  --> StopTime = x, SE0StartTime = x
3265
# In  --> receive data = d2
3266
# In  --> StopTime = x, SE0StartTime = x
3267
# In  --> EOP asserted for 2 bit time at time 2325047000
3268
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3269
# CntrlTransType = 10
3270
# In  --> In task wait for response at time 2328186000
3271
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2328575000
3272
# In  --> Decoder enabled at time 2329286000 in host
3273
# In  --> receive data = 4b
3274
# In  --> receive data = 00
3275
# In  --> receive data = 00
3276
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  12
3277
# ... Read Data from UART done cnt :        21...
3278
# In  --> receive data = 00
3279
# In  --> receive data = 8f
3280
# In  --> receive data = be
3281
# In  --> StopTime = x, SE0StartTime = x
3282
# In  --> receive data = 7f
3283
# In  --> StopTime = x, SE0StartTime = x
3284
# In  --> EOP asserted for 2 bit time at time 2334182000
3285
# In  --> Data toggle recevied is 1001011 at time 2334266000
3286
# In  --> received byte[1] = 00000000
3287
# In  --> received byte[2] = 00000000
3288
# In  --> received byte[3] = 00000000
3289
# In  --> received byte[4] = 10001111
3290
# In  --> calculated crc is 8201 at time 2334266000.
3291
# In  --> received raw crc is 8201 at time 2334266000.
3292
# In  --> received crc is be7f at time 2334266000.
3293
# In  --> tmpCrc be7f, at time 2334266000
3294
# In  --> sending ACK at time 2336166000
3295
# RecvBuffer[0]  = 00000000  : 0
3296
# RecvBuffer[1]  = 00000000  : 0
3297
# RecvBuffer[2]  = 00000000  : 0
3298
# RecvBuffer[3]  = 10001111  : 143
3299
# In  --> In task wait for response at time 2342718000
3300
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2343002000
3301
# In  --> Decoder enabled at time 2343728000 in host
3302
# In  --> StopTime = x, SE0StartTime = x
3303
# In  --> receive data = d2
3304
# In  --> StopTime = x, SE0StartTime = x
3305
# In  --> EOP asserted for 2 bit time at time 2344514000
3306
# CntrlTransType = 11
3307
# In  --> In task wait for response at time 2356410000
3308
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2356694000
3309
# In  --> Decoder enabled at time 2357420000 in host
3310
# In  --> StopTime = x, SE0StartTime = x
3311
# In  --> receive data = d2
3312
# In  --> StopTime = x, SE0StartTime = x
3313
# In  --> EOP asserted for 2 bit time at time 2358206000
3314
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3315
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
3316
# ... Write data aa to UART done cnt :         35 ...
3317
#
3318
#
3319
# ... Writing char 157 ...
3320
# In  --> DataToggle is 1
3321
# In  --> DataToggle is 4b at time 2361450000.
3322
# In  --> sending byte[1] = 00000000
3323
# In  --> sending byte[2] = 00000000
3324
# In  --> sending byte[3] = 00000000
3325
# In  --> sending byte[4] = 10001111
3326
# In  --> raw crc is 8201 at time             2361450
3327
# In  --> sent crc is be7f at time             2361450
3328
# In  --> In task wait for response at time 2367498000
3329
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2367782000
3330
# In  --> Decoder enabled at time 2368507000 in host
3331
# In  --> StopTime = x, SE0StartTime = x
3332
# In  --> receive data = d2
3333
# In  --> StopTime = x, SE0StartTime = x
3334
# In  --> EOP asserted for 2 bit time at time 2369294000
3335
# In  --> bits received are 7
3336
# In  --> ACK received at time 2369294000.
3337
# Input Address:01, EndPt:0
3338
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
3339
# In  CntrlTransType = 11, WRITE = 11
3340
# In  --> In task wait for response at time 2372538000
3341
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2372906000
3342
# In  --> Decoder enabled at time 2373636000 in host
3343
# In  --> receive data = 4b
3344
# In  --> receive data = 00
3345
# In  --> StopTime = x, SE0StartTime = x
3346
# In  --> receive data = 00
3347
# In  --> StopTime = x, SE0StartTime = x
3348
# In  --> EOP asserted for 2 bit time at time 2375762000
3349
#
3350
# ... Reading the UART Status: 0000008f ...
3351
# CntrlTransType = 10
3352
# In  --> In task wait for response at time 2389590000
3353
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2389874000
3354
# In  --> Decoder enabled at time 2390600000 in host
3355
# In  --> StopTime = x, SE0StartTime = x
3356
# In  --> receive data = d2
3357
# In  --> StopTime = x, SE0StartTime = x
3358
# In  --> EOP asserted for 2 bit time at time 2391386000
3359
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3360
# CntrlTransType = 10
3361
# In  --> In task wait for response at time 2394546000
3362
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2394914000
3363
# In  --> Decoder enabled at time 2395643000 in host
3364
# In  --> receive data = 4b
3365
# In  --> receive data = 00
3366
# In  --> receive data = 00
3367
# In  --> receive data = 00
3368
# In  --> receive data = 00
3369
# In  --> receive data = ff
3370
# In  --> StopTime = x, SE0StartTime = x
3371
# In  --> receive data = db
3372
# In  --> StopTime = x, SE0StartTime = x
3373
# In  --> EOP asserted for 2 bit time at time 2400521000
3374
# In  --> Data toggle recevied is 1001011 at time 2400605000
3375
# In  --> received byte[1] = 00000000
3376
# In  --> received byte[2] = 00000000
3377
# In  --> received byte[3] = 00000000
3378
# In  --> received byte[4] = 00000000
3379
# In  --> calculated crc is 24 at time 2400605000.
3380
# In  --> received raw crc is 24 at time 2400605000.
3381
# In  --> received crc is ffdb at time 2400605000.
3382
# In  --> tmpCrc ffdb, at time 2400605000
3383
# In  --> sending ACK at time 2402526000
3384
# RecvBuffer[0]  = 00000000  : 0
3385
# RecvBuffer[1]  = 00000000  : 0
3386
# RecvBuffer[2]  = 00000000  : 0
3387
# RecvBuffer[3]  = 00000000  : 0
3388
# In  --> In task wait for response at time 2409078000
3389
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2409383000
3390
# In  --> Decoder enabled at time 2410082000 in host
3391
# In  --> StopTime = x, SE0StartTime = x
3392
# In  --> receive data = d2
3393
# In  --> StopTime = x, SE0StartTime = x
3394
# In  --> EOP asserted for 2 bit time at time 2410895000
3395
# ... Write data 9d to UART done cnt :         36 ...
3396
#
3397
#
3398
# ... Writing char 150 ...
3399
# CntrlTransType = 10
3400
# In  --> In task wait for response at time 2422770000
3401
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2423075000
3402
# In  --> Decoder enabled at time 2423777000 in host
3403
# In  --> StopTime = x, SE0StartTime = x
3404
# In  --> receive data = d2
3405
# In  --> StopTime = x, SE0StartTime = x
3406
# In  --> EOP asserted for 2 bit time at time 2424587000
3407
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3408
# CntrlTransType = 10
3409
# In  --> In task wait for response at time 2427726000
3410
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2428115000
3411
# In  --> Decoder enabled at time 2428818000 in host
3412
# In  --> receive data = 4b
3413
# In  --> receive data = 00
3414
# In  --> receive data = 00
3415
# In  --> receive data = 00
3416
# In  --> receive data = f2
3417
# In  --> receive data = 7e
3418
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  8f
3419
# ... Read Data from UART done cnt :        22...
3420
# In  --> StopTime = x, SE0StartTime = x
3421
# In  --> receive data = 5e
3422
# In  --> StopTime = x, SE0StartTime = x
3423
# In  --> EOP asserted for 2 bit time at time 2433701000
3424
# In  --> Data toggle recevied is 1001011 at time 2433785000
3425
# In  --> received byte[1] = 00000000
3426
# In  --> received byte[2] = 00000000
3427
# In  --> received byte[3] = 00000000
3428
# In  --> received byte[4] = 11110010
3429
# In  --> calculated crc is 8185 at time 2433785000.
3430
# In  --> received raw crc is 8185 at time 2433785000.
3431
# In  --> received crc is 7e5e at time 2433785000.
3432
# In  --> tmpCrc 7e5e, at time 2433785000
3433
# In  --> sending ACK at time 2435706000
3434
# RecvBuffer[0]  = 00000000  : 0
3435
# RecvBuffer[1]  = 00000000  : 0
3436
# RecvBuffer[2]  = 00000000  : 0
3437
# RecvBuffer[3]  = 11110010  : 242
3438
# In  --> In task wait for response at time 2442258000
3439
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2442563000
3440
# In  --> Decoder enabled at time 2443260000 in host
3441
# In  --> StopTime = x, SE0StartTime = x
3442
# In  --> receive data = d2
3443
# In  --> StopTime = x, SE0StartTime = x
3444
# In  --> EOP asserted for 2 bit time at time 2444054000
3445
# CntrlTransType = 11
3446
# In  --> In task wait for response at time 2455950000
3447
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2456234000
3448
# In  --> Decoder enabled at time 2456952000 in host
3449
# In  --> StopTime = x, SE0StartTime = x
3450
# In  --> receive data = d2
3451
# In  --> StopTime = x, SE0StartTime = x
3452
# In  --> EOP asserted for 2 bit time at time 2457746000
3453
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3454
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
3455
# In  --> DataToggle is 1
3456
# In  --> DataToggle is 4b at time 2460990000.
3457
# In  --> sending byte[1] = 00000000
3458
# In  --> sending byte[2] = 00000000
3459
# In  --> sending byte[3] = 00000000
3460
# In  --> sending byte[4] = 11110010
3461
# In  --> raw crc is 8185 at time             2460990
3462
# In  --> sent crc is 7e5e at time             2460990
3463
# In  --> In task wait for response at time 2467038000
3464
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2467322000
3465
# In  --> Decoder enabled at time 2468038000 in host
3466
# In  --> StopTime = x, SE0StartTime = x
3467
# In  --> receive data = d2
3468
# In  --> StopTime = x, SE0StartTime = x
3469
# In  --> EOP asserted for 2 bit time at time 2468834000
3470
# In  --> bits received are 7
3471
# In  --> ACK received at time 2468834000.
3472
# Input Address:01, EndPt:0
3473
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
3474
# In  CntrlTransType = 11, WRITE = 11
3475
# In  --> In task wait for response at time 2472078000
3476
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2472446000
3477
# In  --> Decoder enabled at time 2473168000 in host
3478
# In  --> receive data = 4b
3479
# In  --> receive data = 00
3480
# In  --> StopTime = x, SE0StartTime = x
3481
# In  --> receive data = 00
3482
# In  --> StopTime = x, SE0StartTime = x
3483
# In  --> EOP asserted for 2 bit time at time 2475302000
3484
#
3485
# ... Reading the UART Status: 000000f2 ...
3486
# ... Write data 96 to UART done cnt :         37 ...
3487
#
3488
#
3489
# ... Writing char  19 ...
3490
# CntrlTransType = 10
3491
# In  --> In task wait for response at time 2489130000
3492
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2489414000
3493
# In  --> Decoder enabled at time 2490150000 in host
3494
# In  --> StopTime = x, SE0StartTime = x
3495
# In  --> receive data = d2
3496
# In  --> StopTime = x, SE0StartTime = x
3497
# In  --> EOP asserted for 2 bit time at time 2490947000
3498
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3499
# CntrlTransType = 10
3500
# In  --> In task wait for response at time 2494086000
3501
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2494475000
3502
# In  --> Decoder enabled at time 2495175000 in host
3503
# In  --> receive data = 4b
3504
# In  --> receive data = 00
3505
# In  --> receive data = 00
3506
# In  --> receive data = 00
3507
# In  --> receive data = 00
3508
# In  --> receive data = ff
3509
# In  --> StopTime = x, SE0StartTime = x
3510
# In  --> receive data = db
3511
# In  --> StopTime = x, SE0StartTime = x
3512
# In  --> EOP asserted for 2 bit time at time 2500061000
3513
# In  --> Data toggle recevied is 1001011 at time 2500145000
3514
# In  --> received byte[1] = 00000000
3515
# In  --> received byte[2] = 00000000
3516
# In  --> received byte[3] = 00000000
3517
# In  --> received byte[4] = 00000000
3518
# In  --> calculated crc is 24 at time 2500145000.
3519
# In  --> received raw crc is 24 at time 2500145000.
3520
# In  --> received crc is ffdb at time 2500145000.
3521
# In  --> tmpCrc ffdb, at time 2500145000
3522
# In  --> sending ACK at time 2502066000
3523
# RecvBuffer[0]  = 00000000  : 0
3524
# RecvBuffer[1]  = 00000000  : 0
3525
# RecvBuffer[2]  = 00000000  : 0
3526
# RecvBuffer[3]  = 00000000  : 0
3527
# In  --> In task wait for response at time 2508618000
3528
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2508923000
3529
# In  --> Decoder enabled at time 2509638000 in host
3530
# In  --> StopTime = x, SE0StartTime = x
3531
# In  --> receive data = d2
3532
# In  --> StopTime = x, SE0StartTime = x
3533
# In  --> EOP asserted for 2 bit time at time 2510435000
3534
# CntrlTransType = 10
3535
# In  --> In task wait for response at time 2522310000
3536
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2522615000
3537
# In  --> Decoder enabled at time 2523330000 in host
3538
# In  --> StopTime = x, SE0StartTime = x
3539
# In  --> receive data = d2
3540
# In  --> StopTime = x, SE0StartTime = x
3541
# In  --> EOP asserted for 2 bit time at time 2524127000
3542
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3543
# CntrlTransType = 10
3544
# In  --> In task wait for response at time 2527266000
3545
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2527655000
3546
# In  --> Decoder enabled at time 2528349000 in host
3547
# In  --> receive data = 4b
3548
# In  --> receive data = 00
3549
# In  --> receive data = 00
3550
# In  --> receive data = 00
3551
# In  --> receive data = ce
3552
# In  --> receive data = 7e
3553
# In  --> StopTime = x, SE0StartTime = x
3554
# In  --> receive data = 4f
3555
# In  --> StopTime = x, SE0StartTime = x
3556
# In  --> EOP asserted for 2 bit time at time 2533241000
3557
# In  --> Data toggle recevied is 1001011 at time 2533325000
3558
# In  --> received byte[1] = 00000000
3559
# In  --> received byte[2] = 00000000
3560
# In  --> received byte[3] = 00000000
3561
# In  --> received byte[4] = 11001110
3562
# In  --> calculated crc is 810d at time 2533325000.
3563
# In  --> received raw crc is 810d at time 2533325000.
3564
# In  --> received crc is 7e4f at time 2533325000.
3565
# In  --> tmpCrc 7e4f, at time 2533325000
3566
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  f2
3567
# ... Read Data from UART done cnt :        23...
3568
# In  --> sending ACK at time 2535246000
3569
# RecvBuffer[0]  = 00000000  : 0
3570
# RecvBuffer[1]  = 00000000  : 0
3571
# RecvBuffer[2]  = 00000000  : 0
3572
# RecvBuffer[3]  = 11001110  : 206
3573
# In  --> In task wait for response at time 2541798000
3574
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2542103000
3575
# In  --> Decoder enabled at time 2542812000 in host
3576
# In  --> StopTime = x, SE0StartTime = x
3577
# In  --> receive data = d2
3578
# In  --> StopTime = x, SE0StartTime = x
3579
# In  --> EOP asserted for 2 bit time at time 2543615000
3580
# ... Write data 13 to UART done cnt :         38 ...
3581
#
3582
#
3583
# ... Writing char  13 ...
3584
# CntrlTransType = 11
3585
# In  --> In task wait for response at time 2555490000
3586
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2555795000
3587
# In  --> Decoder enabled at time 2556507000 in host
3588
# In  --> StopTime = x, SE0StartTime = x
3589
# In  --> receive data = d2
3590
# In  --> StopTime = x, SE0StartTime = x
3591
# In  --> EOP asserted for 2 bit time at time 2557307000
3592
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3593
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
3594
# In  --> DataToggle is 1
3595
# In  --> DataToggle is 4b at time 2560530000.
3596
# In  --> sending byte[1] = 00000000
3597
# In  --> sending byte[2] = 00000000
3598
# In  --> sending byte[3] = 00000000
3599
# In  --> sending byte[4] = 11001110
3600
# In  --> raw crc is 810d at time             2560530
3601
# In  --> sent crc is 7e4f at time             2560530
3602
# In  --> In task wait for response at time 2566578000
3603
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2566883000
3604
# In  --> Decoder enabled at time 2567594000 in host
3605
# In  --> StopTime = x, SE0StartTime = x
3606
# In  --> receive data = d2
3607
# In  --> StopTime = x, SE0StartTime = x
3608
# In  --> EOP asserted for 2 bit time at time 2568395000
3609
# In  --> bits received are 7
3610
# In  --> ACK received at time 2568395000.
3611
# Input Address:01, EndPt:0
3612
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
3613
# In  CntrlTransType = 11, WRITE = 11
3614
# In  --> In task wait for response at time 2571618000
3615
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2572007000
3616
# In  --> Decoder enabled at time 2572718000 in host
3617
# In  --> receive data = 4b
3618
# In  --> receive data = 00
3619
# In  --> StopTime = x, SE0StartTime = x
3620
# In  --> receive data = 00
3621
# In  --> StopTime = x, SE0StartTime = x
3622
# In  --> EOP asserted for 2 bit time at time 2574863000
3623
#
3624
# ... Reading the UART Status: 000000ce ...
3625
# CntrlTransType = 10
3626
# In  --> In task wait for response at time 2588670000
3627
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2588975000
3628
# In  --> Decoder enabled at time 2589682000 in host
3629
# In  --> StopTime = x, SE0StartTime = x
3630
# In  --> receive data = d2
3631
# In  --> StopTime = x, SE0StartTime = x
3632
# In  --> EOP asserted for 2 bit time at time 2590487000
3633
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3634
# CntrlTransType = 10
3635
# In  --> In task wait for response at time 2593626000
3636
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2594015000
3637
# In  --> Decoder enabled at time 2594725000 in host
3638
# In  --> receive data = 4b
3639
# In  --> receive data = 00
3640
# In  --> receive data = 00
3641
# In  --> receive data = 00
3642
# In  --> receive data = 00
3643
# In  --> receive data = ff
3644
# In  --> StopTime = x, SE0StartTime = x
3645
# In  --> receive data = db
3646
# In  --> StopTime = x, SE0StartTime = x
3647
# In  --> EOP asserted for 2 bit time at time 2599622000
3648
# In  --> Data toggle recevied is 1001011 at time 2599706000
3649
# In  --> received byte[1] = 00000000
3650
# In  --> received byte[2] = 00000000
3651
# In  --> received byte[3] = 00000000
3652
# In  --> received byte[4] = 00000000
3653
# In  --> calculated crc is 24 at time 2599706000.
3654
# In  --> received raw crc is 24 at time 2599706000.
3655
# In  --> received crc is ffdb at time 2599706000.
3656
# In  --> tmpCrc ffdb, at time 2599706000
3657
# In  --> sending ACK at time 2601606000
3658
# RecvBuffer[0]  = 00000000  : 0
3659
# RecvBuffer[1]  = 00000000  : 0
3660
# RecvBuffer[2]  = 00000000  : 0
3661
# RecvBuffer[3]  = 00000000  : 0
3662
# In  --> In task wait for response at time 2608158000
3663
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2608442000
3664
# In  --> Decoder enabled at time 2609167000 in host
3665
# In  --> StopTime = x, SE0StartTime = x
3666
# In  --> receive data = d2
3667
# In  --> StopTime = x, SE0StartTime = x
3668
# In  --> EOP asserted for 2 bit time at time 2609954000
3669
# ... Write data 0d to UART done cnt :         39 ...
3670
#
3671
#
3672
# ... Writing char  83 ...
3673
# CntrlTransType = 10
3674
# In  --> In task wait for response at time 2621850000
3675
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2622134000
3676
# In  --> Decoder enabled at time 2622859000 in host
3677
# In  --> StopTime = x, SE0StartTime = x
3678
# In  --> receive data = d2
3679
# In  --> StopTime = x, SE0StartTime = x
3680
# In  --> EOP asserted for 2 bit time at time 2623646000
3681
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3682
# CntrlTransType = 10
3683
# In  --> In task wait for response at time 2626806000
3684
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2627174000
3685
# In  --> Decoder enabled at time 2627905000 in host
3686
# In  --> receive data = 4b
3687
# In  --> receive data = 00
3688
# In  --> receive data = 00
3689
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  ce
3690
# ... Read Data from UART done cnt :        24...
3691
# In  --> receive data = 00
3692
# In  --> receive data = e8
3693
# In  --> receive data = ff
3694
# In  --> StopTime = x, SE0StartTime = x
3695
# In  --> receive data = 95
3696
# In  --> StopTime = x, SE0StartTime = x
3697
# In  --> EOP asserted for 2 bit time at time 2632865000
3698
# In  --> Data toggle recevied is 1001011 at time 2632949000
3699
# In  --> received byte[1] = 00000000
3700
# In  --> received byte[2] = 00000000
3701
# In  --> received byte[3] = 00000000
3702
# In  --> received byte[4] = 11101000
3703
# In  --> calculated crc is 56 at time 2632949000.
3704
# In  --> received raw crc is 56 at time 2632949000.
3705
# In  --> received crc is ff95 at time 2632949000.
3706
# In  --> tmpCrc ff95, at time 2632949000
3707
# In  --> sending ACK at time 2634870000
3708
# RecvBuffer[0]  = 00000000  : 0
3709
# RecvBuffer[1]  = 00000000  : 0
3710
# RecvBuffer[2]  = 00000000  : 0
3711
# RecvBuffer[3]  = 11101000  : 232
3712
# In  --> In task wait for response at time 2641422000
3713
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2641727000
3714
# In  --> Decoder enabled at time 2642428000 in host
3715
# In  --> StopTime = x, SE0StartTime = x
3716
# In  --> receive data = d2
3717
# In  --> StopTime = x, SE0StartTime = x
3718
# In  --> EOP asserted for 2 bit time at time 2643239000
3719
# CntrlTransType = 11
3720
# In  --> In task wait for response at time 2655114000
3721
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2655419000
3722
# In  --> Decoder enabled at time 2656119000 in host
3723
# In  --> StopTime = x, SE0StartTime = x
3724
# In  --> receive data = d2
3725
# In  --> StopTime = x, SE0StartTime = x
3726
# In  --> EOP asserted for 2 bit time at time 2656931000
3727
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3728
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
3729
# In  --> DataToggle is 1
3730
# In  --> DataToggle is 4b at time 2660154000.
3731
# In  --> sending byte[1] = 00000000
3732
# In  --> sending byte[2] = 00000000
3733
# In  --> sending byte[3] = 00000000
3734
# In  --> sending byte[4] = 11101000
3735
# In  --> raw crc is 56 at time             2660154
3736
# In  --> sent crc is ff95 at time             2660154
3737
# In  --> In task wait for response at time 2666286000
3738
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2666591000
3739
# In  --> Decoder enabled at time 2667290000 in host
3740
# In  --> StopTime = x, SE0StartTime = x
3741
# In  --> receive data = d2
3742
# In  --> StopTime = x, SE0StartTime = x
3743
# In  --> EOP asserted for 2 bit time at time 2668103000
3744
# In  --> bits received are 7
3745
# In  --> ACK received at time 2668103000.
3746
# Input Address:01, EndPt:0
3747
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
3748
# In  CntrlTransType = 11, WRITE = 11
3749
# In  --> In task wait for response at time 2671326000
3750
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2671715000
3751
# In  --> Decoder enabled at time 2672416000 in host
3752
# In  --> receive data = 4b
3753
# In  --> receive data = 00
3754
# In  --> StopTime = x, SE0StartTime = x
3755
# In  --> receive data = 00
3756
# In  --> StopTime = x, SE0StartTime = x
3757
# In  --> EOP asserted for 2 bit time at time 2674550000
3758
#
3759
# ... Reading the UART Status: 000000ea ...
3760
# ... Write data 53 to UART done cnt :         40 ...
3761
#
3762
# CntrlTransType = 10
3763
# In  --> In task wait for response at time 2688378000
3764
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2688662000
3765
# In  --> Decoder enabled at time 2689383000 in host
3766
# In  --> StopTime = x, SE0StartTime = x
3767
# In  --> receive data = d2
3768
# In  --> StopTime = x, SE0StartTime = x
3769
# In  --> EOP asserted for 2 bit time at time 2690174000
3770
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3771
# CntrlTransType = 10
3772
# In  --> In task wait for response at time 2693334000
3773
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2693702000
3774
# In  --> Decoder enabled at time 2694423000 in host
3775
# In  --> receive data = 4b
3776
# In  --> receive data = 00
3777
# In  --> receive data = 00
3778
# In  --> receive data = 00
3779
# In  --> receive data = 00
3780
# In  --> receive data = ff
3781
# In  --> StopTime = x, SE0StartTime = x
3782
# In  --> receive data = db
3783
# In  --> StopTime = x, SE0StartTime = x
3784
# In  --> EOP asserted for 2 bit time at time 2699309000
3785
# In  --> Data toggle recevied is 1001011 at time 2699393000
3786
# In  --> received byte[1] = 00000000
3787
# In  --> received byte[2] = 00000000
3788
# In  --> received byte[3] = 00000000
3789
# In  --> received byte[4] = 00000000
3790
# In  --> calculated crc is 24 at time 2699393000.
3791
# In  --> received raw crc is 24 at time 2699393000.
3792
# In  --> received crc is ffdb at time 2699393000.
3793
# In  --> tmpCrc ffdb, at time 2699393000
3794
# In  --> sending ACK at time 2701314000
3795
# RecvBuffer[0]  = 00000000  : 0
3796
# RecvBuffer[1]  = 00000000  : 0
3797
# RecvBuffer[2]  = 00000000  : 0
3798
# RecvBuffer[3]  = 00000000  : 0
3799
# In  --> In task wait for response at time 2707866000
3800
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2708171000
3801
# In  --> Decoder enabled at time 2708886000 in host
3802
# In  --> StopTime = x, SE0StartTime = x
3803
# In  --> receive data = d2
3804
# In  --> StopTime = x, SE0StartTime = x
3805
# In  --> EOP asserted for 2 bit time at time 2709683000
3806
# CntrlTransType = 10
3807
# In  --> In task wait for response at time 2721558000
3808
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2721863000
3809
# In  --> Decoder enabled at time 2722581000 in host
3810
# In  --> StopTime = x, SE0StartTime = x
3811
# In  --> receive data = d2
3812
# In  --> StopTime = x, SE0StartTime = x
3813
# In  --> EOP asserted for 2 bit time at time 2723375000
3814
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3815
# CntrlTransType = 10
3816
# In  --> In task wait for response at time 2726514000
3817
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2726903000
3818
# In  --> Decoder enabled at time 2727601000 in host
3819
# In  --> receive data = 4b
3820
# In  --> receive data = 00
3821
# In  --> receive data = 00
3822
# In  --> receive data = 00
3823
# In  --> receive data = c5
3824
# In  --> receive data = 3f
3825
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  e8
3826
# ... Read Data from UART done cnt :        25...
3827
# In  --> StopTime = x, SE0StartTime = x
3828
# In  --> receive data = 88
3829
# In  --> StopTime = x, SE0StartTime = x
3830
# In  --> EOP asserted for 2 bit time at time 2732489000
3831
# In  --> Data toggle recevied is 1001011 at time 2732573000
3832
# In  --> received byte[1] = 00000000
3833
# In  --> received byte[2] = 00000000
3834
# In  --> received byte[3] = 00000000
3835
# In  --> received byte[4] = 11000101
3836
# In  --> calculated crc is 3ee at time 2732573000.
3837
# In  --> received raw crc is 3ee at time 2732573000.
3838
# In  --> received crc is 3f88 at time 2732573000.
3839
# In  --> tmpCrc 3f88, at time 2732573000
3840
# In  --> sending ACK at time 2734494000
3841
# RecvBuffer[0]  = 00000000  : 0
3842
# RecvBuffer[1]  = 00000000  : 0
3843
# RecvBuffer[2]  = 00000000  : 0
3844
# RecvBuffer[3]  = 11000101  : 197
3845
# In  --> In task wait for response at time 2741046000
3846
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2741351000
3847
# In  --> Decoder enabled at time 2742064000 in host
3848
# In  --> StopTime = x, SE0StartTime = x
3849
# In  --> receive data = d2
3850
# In  --> StopTime = x, SE0StartTime = x
3851
# In  --> EOP asserted for 2 bit time at time 2742863000
3852
# CntrlTransType = 11
3853
# In  --> In task wait for response at time 2754738000
3854
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2755043000
3855
# In  --> Decoder enabled at time 2755755000 in host
3856
# In  --> StopTime = x, SE0StartTime = x
3857
# In  --> receive data = d2
3858
# In  --> StopTime = x, SE0StartTime = x
3859
# In  --> EOP asserted for 2 bit time at time 2756555000
3860
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3861
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
3862
# In  --> DataToggle is 1
3863
# In  --> DataToggle is 4b at time 2759778000.
3864
# In  --> sending byte[1] = 00000000
3865
# In  --> sending byte[2] = 00000000
3866
# In  --> sending byte[3] = 00000000
3867
# In  --> sending byte[4] = 11000101
3868
# In  --> raw crc is 3ee at time             2759778
3869
# In  --> sent crc is 3f88 at time             2759778
3870
# In  --> In task wait for response at time 2765826000
3871
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2766131000
3872
# In  --> Decoder enabled at time 2766842000 in host
3873
# In  --> StopTime = x, SE0StartTime = x
3874
# In  --> receive data = d2
3875
# In  --> StopTime = x, SE0StartTime = x
3876
# In  --> EOP asserted for 2 bit time at time 2767643000
3877
# In  --> bits received are 7
3878
# In  --> ACK received at time 2767643000.
3879
# Input Address:01, EndPt:0
3880
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
3881
# In  CntrlTransType = 11, WRITE = 11
3882
# In  --> In task wait for response at time 2770866000
3883
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2771255000
3884
# In  --> Decoder enabled at time 2771972000 in host
3885
# In  --> receive data = 4b
3886
# In  --> receive data = 00
3887
# In  --> StopTime = x, SE0StartTime = x
3888
# In  --> receive data = 00
3889
# In  --> StopTime = x, SE0StartTime = x
3890
# In  --> EOP asserted for 2 bit time at time 2774111000
3891
#
3892
# ... Reading the UART Status: 000000c7 ...
3893
# CntrlTransType = 10
3894
# In  --> In task wait for response at time 2787918000
3895
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2788223000
3896
# In  --> Decoder enabled at time 2788933000 in host
3897
# In  --> StopTime = x, SE0StartTime = x
3898
# In  --> receive data = d2
3899
# In  --> StopTime = x, SE0StartTime = x
3900
# In  --> EOP asserted for 2 bit time at time 2789735000
3901
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3902
# CntrlTransType = 10
3903
# In  --> In task wait for response at time 2792874000
3904
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2793179000
3905
# In  --> Decoder enabled at time 2793893000 in host
3906
# In  --> receive data = 4b
3907
# In  --> receive data = 00
3908
# In  --> receive data = 00
3909
# In  --> receive data = 00
3910
# In  --> receive data = 00
3911
# In  --> receive data = ff
3912
# In  --> StopTime = x, SE0StartTime = x
3913
# In  --> receive data = db
3914
# In  --> StopTime = x, SE0StartTime = x
3915
# In  --> EOP asserted for 2 bit time at time 2798786000
3916
# In  --> Data toggle recevied is 1001011 at time 2798870000
3917
# In  --> received byte[1] = 00000000
3918
# In  --> received byte[2] = 00000000
3919
# In  --> received byte[3] = 00000000
3920
# In  --> received byte[4] = 00000000
3921
# In  --> calculated crc is 24 at time 2798870000.
3922
# In  --> received raw crc is 24 at time 2798870000.
3923
# In  --> received crc is ffdb at time 2798870000.
3924
# In  --> tmpCrc ffdb, at time 2798870000
3925
# In  --> sending ACK at time 2800770000
3926
# RecvBuffer[0]  = 00000000  : 0
3927
# RecvBuffer[1]  = 00000000  : 0
3928
# RecvBuffer[2]  = 00000000  : 0
3929
# RecvBuffer[3]  = 00000000  : 0
3930
# In  --> In task wait for response at time 2807322000
3931
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2807606000
3932
# In  --> Decoder enabled at time 2808335000 in host
3933
# In  --> StopTime = x, SE0StartTime = x
3934
# In  --> receive data = d2
3935
# In  --> StopTime = x, SE0StartTime = x
3936
# In  --> EOP asserted for 2 bit time at time 2809139000
3937
# CntrlTransType = 10
3938
# In  --> In task wait for response at time 2821014000
3939
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2821319000
3940
# In  --> Decoder enabled at time 2822027000 in host
3941
# In  --> StopTime = x, SE0StartTime = x
3942
# In  --> receive data = d2
3943
# In  --> StopTime = x, SE0StartTime = x
3944
# In  --> EOP asserted for 2 bit time at time 2822831000
3945
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3946
# CntrlTransType = 10
3947
# In  --> In task wait for response at time 2825970000
3948
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2826275000
3949
# In  --> Decoder enabled at time 2826987000 in host
3950
# In  --> receive data = 4b
3951
# In  --> receive data = 00
3952
# In  --> receive data = 00
3953
# In  --> receive data = 00
3954
# In  --> receive data = 5c
3955
# In  --> receive data = ff
3956
# In  --> StopTime = x, SE0StartTime = x
3957
# In  --> receive data = e2
3958
# In  --> StopTime = x, SE0StartTime = x
3959
# In  --> EOP asserted for 2 bit time at time 2831882000
3960
# In  --> Data toggle recevied is 1001011 at time 2831966000
3961
# In  --> received byte[1] = 00000000
3962
# In  --> received byte[2] = 00000000
3963
# In  --> received byte[3] = 00000000
3964
# In  --> received byte[4] = 01011100
3965
# In  --> calculated crc is b8 at time 2831966000.
3966
# In  --> received raw crc is b8 at time 2831966000.
3967
# In  --> received crc is ffe2 at time 2831966000.
3968
# In  --> tmpCrc ffe2, at time 2831966000
3969
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  c5
3970
# ... Read Data from UART done cnt :        26...
3971
# In  --> sending ACK at time 2833866000
3972
# RecvBuffer[0]  = 00000000  : 0
3973
# RecvBuffer[1]  = 00000000  : 0
3974
# RecvBuffer[2]  = 00000000  : 0
3975
# RecvBuffer[3]  = 01011100  : 92
3976
# In  --> In task wait for response at time 2840418000
3977
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2840702000
3978
# In  --> Decoder enabled at time 2841429000 in host
3979
# In  --> StopTime = x, SE0StartTime = x
3980
# In  --> receive data = d2
3981
# In  --> StopTime = x, SE0StartTime = x
3982
# In  --> EOP asserted for 2 bit time at time 2842214000
3983
# CntrlTransType = 11
3984
# In  --> In task wait for response at time 2854110000
3985
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2854394000
3986
# In  --> Decoder enabled at time 2855121000 in host
3987
# In  --> StopTime = x, SE0StartTime = x
3988
# In  --> receive data = d2
3989
# In  --> StopTime = x, SE0StartTime = x
3990
# In  --> EOP asserted for 2 bit time at time 2855906000
3991
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3992
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
3993
# In  --> DataToggle is 1
3994
# In  --> DataToggle is 4b at time 2859150000.
3995
# In  --> sending byte[1] = 00000000
3996
# In  --> sending byte[2] = 00000000
3997
# In  --> sending byte[3] = 00000000
3998
# In  --> sending byte[4] = 01011100
3999
# In  --> raw crc is b8 at time             2859150
4000
# In  --> sent crc is ffe2 at time             2859150
4001
# In  --> In task wait for response at time 2865198000
4002
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2865482000
4003
# In  --> Decoder enabled at time 2866207000 in host
4004
# In  --> StopTime = x, SE0StartTime = x
4005
# In  --> receive data = d2
4006
# In  --> StopTime = x, SE0StartTime = x
4007
# In  --> EOP asserted for 2 bit time at time 2866994000
4008
# In  --> bits received are 7
4009
# In  --> ACK received at time 2866994000.
4010
# Input Address:01, EndPt:0
4011
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
4012
# In  CntrlTransType = 11, WRITE = 11
4013
# In  --> In task wait for response at time 2870238000
4014
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2870606000
4015
# In  --> Decoder enabled at time 2871337000 in host
4016
# In  --> receive data = 4b
4017
# In  --> receive data = 00
4018
# In  --> StopTime = x, SE0StartTime = x
4019
# In  --> receive data = 00
4020
# In  --> StopTime = x, SE0StartTime = x
4021
# In  --> EOP asserted for 2 bit time at time 2873462000
4022
#
4023
# ... Reading the UART Status: 0000005e ...
4024
# CntrlTransType = 10
4025
# In  --> In task wait for response at time 2887290000
4026
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2887574000
4027
# In  --> Decoder enabled at time 2888298000 in host
4028
# In  --> StopTime = x, SE0StartTime = x
4029
# In  --> receive data = d2
4030
# In  --> StopTime = x, SE0StartTime = x
4031
# In  --> EOP asserted for 2 bit time at time 2889086000
4032
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4033
# CntrlTransType = 10
4034
# In  --> In task wait for response at time 2892246000
4035
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2892614000
4036
# In  --> Decoder enabled at time 2893344000 in host
4037
# In  --> receive data = 4b
4038
# In  --> receive data = 00
4039
# In  --> receive data = 00
4040
# In  --> receive data = 00
4041
# In  --> receive data = 00
4042
# In  --> receive data = ff
4043
# In  --> StopTime = x, SE0StartTime = x
4044
# In  --> receive data = db
4045
# In  --> StopTime = x, SE0StartTime = x
4046
# In  --> EOP asserted for 2 bit time at time 2898221000
4047
# In  --> Data toggle recevied is 1001011 at time 2898305000
4048
# In  --> received byte[1] = 00000000
4049
# In  --> received byte[2] = 00000000
4050
# In  --> received byte[3] = 00000000
4051
# In  --> received byte[4] = 00000000
4052
# In  --> calculated crc is 24 at time 2898305000.
4053
# In  --> received raw crc is 24 at time 2898305000.
4054
# In  --> received crc is ffdb at time 2898305000.
4055
# In  --> tmpCrc ffdb, at time 2898305000
4056
# In  --> sending ACK at time 2900226000
4057
# RecvBuffer[0]  = 00000000  : 0
4058
# RecvBuffer[1]  = 00000000  : 0
4059
# RecvBuffer[2]  = 00000000  : 0
4060
# RecvBuffer[3]  = 00000000  : 0
4061
# In  --> In task wait for response at time 2906778000
4062
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2907083000
4063
# In  --> Decoder enabled at time 2907786000 in host
4064
# In  --> StopTime = x, SE0StartTime = x
4065
# In  --> receive data = d2
4066
# In  --> StopTime = x, SE0StartTime = x
4067
# In  --> EOP asserted for 2 bit time at time 2908595000
4068
# CntrlTransType = 10
4069
# In  --> In task wait for response at time 2920470000
4070
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2920775000
4071
# In  --> Decoder enabled at time 2921478000 in host
4072
# In  --> StopTime = x, SE0StartTime = x
4073
# In  --> receive data = d2
4074
# In  --> StopTime = x, SE0StartTime = x
4075
# In  --> EOP asserted for 2 bit time at time 2922287000
4076
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4077
# CntrlTransType = 10
4078
# In  --> In task wait for response at time 2925426000
4079
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2925815000
4080
# In  --> Decoder enabled at time 2926518000 in host
4081
# In  --> receive data = 4b
4082
# In  --> receive data = 00
4083
# In  --> receive data = 00
4084
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  5c
4085
# ... Read Data from UART done cnt :        27...
4086
# In  --> receive data = 00
4087
# In  --> receive data = bd
4088
# In  --> receive data = 3f
4089
# In  --> StopTime = x, SE0StartTime = x
4090
# In  --> receive data = aa
4091
# In  --> StopTime = x, SE0StartTime = x
4092
# In  --> EOP asserted for 2 bit time at time 2931401000
4093
# In  --> Data toggle recevied is 1001011 at time 2931485000
4094
# In  --> received byte[1] = 00000000
4095
# In  --> received byte[2] = 00000000
4096
# In  --> received byte[3] = 00000000
4097
# In  --> received byte[4] = 10111101
4098
# In  --> calculated crc is 3aa at time 2931485000.
4099
# In  --> received raw crc is 3aa at time 2931485000.
4100
# In  --> received crc is 3faa at time 2931485000.
4101
# In  --> tmpCrc 3faa, at time 2931485000
4102
# In  --> sending ACK at time 2933406000
4103
# RecvBuffer[0]  = 00000000  : 0
4104
# RecvBuffer[1]  = 00000000  : 0
4105
# RecvBuffer[2]  = 00000000  : 0
4106
# RecvBuffer[3]  = 10111101  : 189
4107
# In  --> In task wait for response at time 2939958000
4108
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2940263000
4109
# In  --> Decoder enabled at time 2940961000 in host
4110
# In  --> StopTime = x, SE0StartTime = x
4111
# In  --> receive data = d2
4112
# In  --> StopTime = x, SE0StartTime = x
4113
# In  --> EOP asserted for 2 bit time at time 2941754000
4114
# CntrlTransType = 11
4115
# In  --> In task wait for response at time 2953650000
4116
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2953934000
4117
# In  --> Decoder enabled at time 2954652000 in host
4118
# In  --> StopTime = x, SE0StartTime = x
4119
# In  --> receive data = d2
4120
# In  --> StopTime = x, SE0StartTime = x
4121
# In  --> EOP asserted for 2 bit time at time 2955446000
4122
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4123
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
4124
# In  --> DataToggle is 1
4125
# In  --> DataToggle is 4b at time 2958690000.
4126
# In  --> sending byte[1] = 00000000
4127
# In  --> sending byte[2] = 00000000
4128
# In  --> sending byte[3] = 00000000
4129
# In  --> sending byte[4] = 10111101
4130
# In  --> raw crc is 3aa at time             2958690
4131
# In  --> sent crc is 3faa at time             2958690
4132
# In  --> In task wait for response at time 2964738000
4133
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2965022000
4134
# In  --> Decoder enabled at time 2965739000 in host
4135
# In  --> StopTime = x, SE0StartTime = x
4136
# In  --> receive data = d2
4137
# In  --> StopTime = x, SE0StartTime = x
4138
# In  --> EOP asserted for 2 bit time at time 2966534000
4139
# In  --> bits received are 7
4140
# In  --> ACK received at time 2966534000.
4141
# Input Address:01, EndPt:0
4142
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
4143
# In  CntrlTransType = 11, WRITE = 11
4144
# In  --> In task wait for response at time 2969778000
4145
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2970146000
4146
# In  --> Decoder enabled at time 2970869000 in host
4147
# In  --> receive data = 4b
4148
# In  --> receive data = 00
4149
# In  --> StopTime = x, SE0StartTime = x
4150
# In  --> receive data = 00
4151
# In  --> StopTime = x, SE0StartTime = x
4152
# In  --> EOP asserted for 2 bit time at time 2973002000
4153
#
4154
# ... Reading the UART Status: 000000bf ...
4155
# CntrlTransType = 10
4156
# In  --> In task wait for response at time 2986830000
4157
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2987114000
4158
# In  --> Decoder enabled at time 2987830000 in host
4159
# In  --> StopTime = x, SE0StartTime = x
4160
# In  --> receive data = d2
4161
# In  --> StopTime = x, SE0StartTime = x
4162
# In  --> EOP asserted for 2 bit time at time 2988626000
4163
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4164
# CntrlTransType = 10
4165
# In  --> In task wait for response at time 2991786000
4166
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2992154000
4167
# In  --> Decoder enabled at time 2992876000 in host
4168
# In  --> receive data = 4b
4169
# In  --> receive data = 00
4170
# In  --> receive data = 00
4171
# In  --> receive data = 00
4172
# In  --> receive data = 00
4173
# In  --> receive data = ff
4174
# In  --> StopTime = x, SE0StartTime = x
4175
# In  --> receive data = db
4176
# In  --> StopTime = x, SE0StartTime = x
4177
# In  --> EOP asserted for 2 bit time at time 2997761000
4178
# In  --> Data toggle recevied is 1001011 at time 2997845000
4179
# In  --> received byte[1] = 00000000
4180
# In  --> received byte[2] = 00000000
4181
# In  --> received byte[3] = 00000000
4182
# In  --> received byte[4] = 00000000
4183
# In  --> calculated crc is 24 at time 2997845000.
4184
# In  --> received raw crc is 24 at time 2997845000.
4185
# In  --> received crc is ffdb at time 2997845000.
4186
# In  --> tmpCrc ffdb, at time 2997845000
4187
# In  --> sending ACK at time 2999766000
4188
# RecvBuffer[0]  = 00000000  : 0
4189
# RecvBuffer[1]  = 00000000  : 0
4190
# RecvBuffer[2]  = 00000000  : 0
4191
# RecvBuffer[3]  = 00000000  : 0
4192
# In  --> In task wait for response at time 3006318000
4193
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3006623000
4194
# In  --> Decoder enabled at time 3007339000 in host
4195
# In  --> StopTime = x, SE0StartTime = x
4196
# In  --> receive data = d2
4197
# In  --> StopTime = x, SE0StartTime = x
4198
# In  --> EOP asserted for 2 bit time at time 3008135000
4199
# CntrlTransType = 10
4200
# In  --> In task wait for response at time 3020010000
4201
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3020315000
4202
# In  --> Decoder enabled at time 3021028000 in host
4203
# In  --> StopTime = x, SE0StartTime = x
4204
# In  --> receive data = d2
4205
# In  --> StopTime = x, SE0StartTime = x
4206
# In  --> EOP asserted for 2 bit time at time 3021827000
4207
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4208
# CntrlTransType = 10
4209
# In  --> In task wait for response at time 3024966000
4210
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3025355000
4211
# In  --> Decoder enabled at time 3026053000 in host
4212
# In  --> receive data = 4b
4213
# In  --> receive data = 00
4214
# In  --> receive data = 00
4215
# In  --> receive data = 00
4216
# In  --> receive data = 2d
4217
# In  --> receive data = 3f
4218
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  bd
4219
# ... Read Data from UART done cnt :        28...
4220
# In  --> StopTime = x, SE0StartTime = x
4221
# In  --> receive data = c6
4222
# In  --> StopTime = x, SE0StartTime = x
4223
# In  --> EOP asserted for 2 bit time at time 3030941000
4224
# In  --> Data toggle recevied is 1001011 at time 3031025000
4225
# In  --> received byte[1] = 00000000
4226
# In  --> received byte[2] = 00000000
4227
# In  --> received byte[3] = 00000000
4228
# In  --> received byte[4] = 00101101
4229
# In  --> calculated crc is 39c at time 3031025000.
4230
# In  --> received raw crc is 39c at time 3031025000.
4231
# In  --> received crc is 3fc6 at time 3031025000.
4232
# In  --> tmpCrc 3fc6, at time 3031025000
4233
# In  --> sending ACK at time 3032946000
4234
# RecvBuffer[0]  = 00000000  : 0
4235
# RecvBuffer[1]  = 00000000  : 0
4236
# RecvBuffer[2]  = 00000000  : 0
4237
# RecvBuffer[3]  = 00101101  : 45
4238
# In  --> In task wait for response at time 3039498000
4239
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3039803000
4240
# In  --> Decoder enabled at time 3040516000 in host
4241
# In  --> StopTime = x, SE0StartTime = x
4242
# In  --> receive data = d2
4243
# In  --> StopTime = x, SE0StartTime = x
4244
# In  --> EOP asserted for 2 bit time at time 3041315000
4245
# CntrlTransType = 11
4246
# In  --> In task wait for response at time 3053190000
4247
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3053495000
4248
# In  --> Decoder enabled at time 3054208000 in host
4249
# In  --> StopTime = x, SE0StartTime = x
4250
# In  --> receive data = d2
4251
# In  --> StopTime = x, SE0StartTime = x
4252
# In  --> EOP asserted for 2 bit time at time 3055007000
4253
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4254
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
4255
# In  --> DataToggle is 1
4256
# In  --> DataToggle is 4b at time 3058230000.
4257
# In  --> sending byte[1] = 00000000
4258
# In  --> sending byte[2] = 00000000
4259
# In  --> sending byte[3] = 00000000
4260
# In  --> sending byte[4] = 00101101
4261
# In  --> raw crc is 39c at time             3058230
4262
# In  --> sent crc is 3fc6 at time             3058230
4263
# In  --> In task wait for response at time 3064278000
4264
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3064583000
4265
# In  --> Decoder enabled at time 3065295000 in host
4266
# In  --> StopTime = x, SE0StartTime = x
4267
# In  --> receive data = d2
4268
# In  --> StopTime = x, SE0StartTime = x
4269
# In  --> EOP asserted for 2 bit time at time 3066095000
4270
# In  --> bits received are 7
4271
# In  --> ACK received at time 3066095000.
4272
# Input Address:01, EndPt:0
4273
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
4274
# In  CntrlTransType = 11, WRITE = 11
4275
# In  --> In task wait for response at time 3069318000
4276
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3069623000
4277
# In  --> Decoder enabled at time 3070338000 in host
4278
# In  --> receive data = 4b
4279
# In  --> receive data = 00
4280
# In  --> StopTime = x, SE0StartTime = x
4281
# In  --> receive data = 00
4282
# In  --> StopTime = x, SE0StartTime = x
4283
# In  --> EOP asserted for 2 bit time at time 3072479000
4284
#
4285
# ... Reading the UART Status: 0000002f ...
4286
# CntrlTransType = 10
4287
# In  --> In task wait for response at time 3086286000
4288
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3086591000
4289
# In  --> Decoder enabled at time 3087302000 in host
4290
# In  --> StopTime = x, SE0StartTime = x
4291
# In  --> receive data = d2
4292
# In  --> StopTime = x, SE0StartTime = x
4293
# In  --> EOP asserted for 2 bit time at time 3088103000
4294
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4295
# CntrlTransType = 10
4296
# In  --> In task wait for response at time 3091242000
4297
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3091547000
4298
# In  --> Decoder enabled at time 3092262000 in host
4299
# In  --> receive data = 4b
4300
# In  --> receive data = 00
4301
# In  --> receive data = 00
4302
# In  --> receive data = 00
4303
# In  --> receive data = 00
4304
# In  --> receive data = ff
4305
# In  --> StopTime = x, SE0StartTime = x
4306
# In  --> receive data = db
4307
# In  --> StopTime = x, SE0StartTime = x
4308
# In  --> EOP asserted for 2 bit time at time 3097154000
4309
# In  --> Data toggle recevied is 1001011 at time 3097238000
4310
# In  --> received byte[1] = 00000000
4311
# In  --> received byte[2] = 00000000
4312
# In  --> received byte[3] = 00000000
4313
# In  --> received byte[4] = 00000000
4314
# In  --> calculated crc is 24 at time 3097238000.
4315
# In  --> received raw crc is 24 at time 3097238000.
4316
# In  --> received crc is ffdb at time 3097238000.
4317
# In  --> tmpCrc ffdb, at time 3097238000
4318
# In  --> sending ACK at time 3099138000
4319
# RecvBuffer[0]  = 00000000  : 0
4320
# RecvBuffer[1]  = 00000000  : 0
4321
# RecvBuffer[2]  = 00000000  : 0
4322
# RecvBuffer[3]  = 00000000  : 0
4323
# In  --> In task wait for response at time 3105690000
4324
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3105974000
4325
# In  --> Decoder enabled at time 3106704000 in host
4326
# In  --> StopTime = x, SE0StartTime = x
4327
# In  --> receive data = d2
4328
# In  --> StopTime = x, SE0StartTime = x
4329
# In  --> EOP asserted for 2 bit time at time 3107486000
4330
# CntrlTransType = 10
4331
# In  --> In task wait for response at time 3119382000
4332
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3119666000
4333
# In  --> Decoder enabled at time 3120396000 in host
4334
# In  --> StopTime = x, SE0StartTime = x
4335
# In  --> receive data = d2
4336
# In  --> StopTime = x, SE0StartTime = x
4337
# In  --> EOP asserted for 2 bit time at time 3121178000
4338
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4339
# CntrlTransType = 10
4340
# In  --> In task wait for response at time 3124338000
4341
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3124706000
4342
# In  --> Decoder enabled at time 3125439000 in host
4343
# In  --> receive data = 4b
4344
# In  --> receive data = 00
4345
# In  --> receive data = 00
4346
# In  --> receive data = 00
4347
# In  --> receive data = 65
4348
# In  --> receive data = 3f
4349
# In  --> StopTime = x, SE0StartTime = x
4350
# In  --> receive data = f0
4351
# In  --> StopTime = x, SE0StartTime = x
4352
# In  --> EOP asserted for 2 bit time at time 3130334000
4353
# In  --> Data toggle recevied is 1001011 at time 3130418000
4354
# In  --> received byte[1] = 00000000
4355
# In  --> received byte[2] = 00000000
4356
# In  --> received byte[3] = 00000000
4357
# In  --> received byte[4] = 01100101
4358
# In  --> calculated crc is 3f0 at time 3130418000.
4359
# In  --> received raw crc is 3f0 at time 3130418000.
4360
# In  --> received crc is 3ff0 at time 3130418000.
4361
# In  --> tmpCrc 3ff0, at time 3130418000
4362
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  2d
4363
# ... Read Data from UART done cnt :        29...
4364
# In  --> sending ACK at time 3132318000
4365
# RecvBuffer[0]  = 00000000  : 0
4366
# RecvBuffer[1]  = 00000000  : 0
4367
# RecvBuffer[2]  = 00000000  : 0
4368
# RecvBuffer[3]  = 01100101  : 101
4369
# In  --> In task wait for response at time 3138870000
4370
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3139154000
4371
# In  --> Decoder enabled at time 3139878000 in host
4372
# In  --> StopTime = x, SE0StartTime = x
4373
# In  --> receive data = d2
4374
# In  --> StopTime = x, SE0StartTime = x
4375
# In  --> EOP asserted for 2 bit time at time 3140666000
4376
# CntrlTransType = 11
4377
# In  --> In task wait for response at time 3152562000
4378
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3152846000
4379
# In  --> Decoder enabled at time 3153573000 in host
4380
# In  --> StopTime = x, SE0StartTime = x
4381
# In  --> receive data = d2
4382
# In  --> StopTime = x, SE0StartTime = x
4383
# In  --> EOP asserted for 2 bit time at time 3154358000
4384
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4385
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
4386
# In  --> DataToggle is 1
4387
# In  --> DataToggle is 4b at time 3157602000.
4388
# In  --> sending byte[1] = 00000000
4389
# In  --> sending byte[2] = 00000000
4390
# In  --> sending byte[3] = 00000000
4391
# In  --> sending byte[4] = 01100101
4392
# In  --> raw crc is 3f0 at time             3157602
4393
# In  --> sent crc is 3ff0 at time             3157602
4394
# In  --> In task wait for response at time 3163650000
4395
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3163934000
4396
# In  --> Decoder enabled at time 3164660000 in host
4397
# In  --> StopTime = x, SE0StartTime = x
4398
# In  --> receive data = d2
4399
# In  --> StopTime = x, SE0StartTime = x
4400
# In  --> EOP asserted for 2 bit time at time 3165446000
4401
# In  --> bits received are 7
4402
# In  --> ACK received at time 3165446000.
4403
# Input Address:01, EndPt:0
4404
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
4405
# In  CntrlTransType = 11, WRITE = 11
4406
# In  --> In task wait for response at time 3168690000
4407
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3169058000
4408
# In  --> Decoder enabled at time 3169784000 in host
4409
# In  --> receive data = 4b
4410
# In  --> receive data = 00
4411
# In  --> StopTime = x, SE0StartTime = x
4412
# In  --> receive data = 00
4413
# In  --> StopTime = x, SE0StartTime = x
4414
# In  --> EOP asserted for 2 bit time at time 3171914000
4415
#
4416
# ... Reading the UART Status: 00000067 ...
4417
# CntrlTransType = 10
4418
# In  --> In task wait for response at time 3185742000
4419
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3186026000
4420
# In  --> Decoder enabled at time 3186748000 in host
4421
# In  --> StopTime = x, SE0StartTime = x
4422
# In  --> receive data = d2
4423
# In  --> StopTime = x, SE0StartTime = x
4424
# In  --> EOP asserted for 2 bit time at time 3187538000
4425
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4426
# CntrlTransType = 10
4427
# In  --> In task wait for response at time 3190698000
4428
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3191066000
4429
# In  --> Decoder enabled at time 3191791000 in host
4430
# In  --> receive data = 4b
4431
# In  --> receive data = 00
4432
# In  --> receive data = 00
4433
# In  --> receive data = 00
4434
# In  --> receive data = 00
4435
# In  --> receive data = ff
4436
# In  --> StopTime = x, SE0StartTime = x
4437
# In  --> receive data = db
4438
# In  --> StopTime = x, SE0StartTime = x
4439
# In  --> EOP asserted for 2 bit time at time 3196673000
4440
# In  --> Data toggle recevied is 1001011 at time 3196757000
4441
# In  --> received byte[1] = 00000000
4442
# In  --> received byte[2] = 00000000
4443
# In  --> received byte[3] = 00000000
4444
# In  --> received byte[4] = 00000000
4445
# In  --> calculated crc is 24 at time 3196757000.
4446
# In  --> received raw crc is 24 at time 3196757000.
4447
# In  --> received crc is ffdb at time 3196757000.
4448
# In  --> tmpCrc ffdb, at time 3196757000
4449
# In  --> sending ACK at time 3198678000
4450
# RecvBuffer[0]  = 00000000  : 0
4451
# RecvBuffer[1]  = 00000000  : 0
4452
# RecvBuffer[2]  = 00000000  : 0
4453
# RecvBuffer[3]  = 00000000  : 0
4454
# In  --> In task wait for response at time 3205230000
4455
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3205535000
4456
# In  --> Decoder enabled at time 3206233000 in host
4457
# In  --> StopTime = x, SE0StartTime = x
4458
# In  --> receive data = d2
4459
# In  --> StopTime = x, SE0StartTime = x
4460
# In  --> EOP asserted for 2 bit time at time 3207047000
4461
# CntrlTransType = 10
4462
# In  --> In task wait for response at time 3218922000
4463
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3219227000
4464
# In  --> Decoder enabled at time 3219925000 in host
4465
# In  --> StopTime = x, SE0StartTime = x
4466
# In  --> receive data = d2
4467
# In  --> StopTime = x, SE0StartTime = x
4468
# In  --> EOP asserted for 2 bit time at time 3220739000
4469
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4470
# CntrlTransType = 10
4471
# In  --> In task wait for response at time 3223878000
4472
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3224267000
4473
# In  --> Decoder enabled at time 3224971000 in host
4474
# In  --> receive data = 4b
4475
# In  --> receive data = 00
4476
# In  --> receive data = 00
4477
# In  --> receive data = 00
4478
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  65
4479
# ... Read Data from UART done cnt :        30...
4480
# In  --> receive data = 63
4481
# In  --> receive data = bf
4482
# In  --> StopTime = x, SE0StartTime = x
4483
# In  --> receive data = f2
4484
# In  --> StopTime = x, SE0StartTime = x
4485
# In  --> EOP asserted for 2 bit time at time 3229853000
4486
# In  --> Data toggle recevied is 1001011 at time 3229937000
4487
# In  --> received byte[1] = 00000000
4488
# In  --> received byte[2] = 00000000
4489
# In  --> received byte[3] = 00000000
4490
# In  --> received byte[4] = 01100011
4491
# In  --> calculated crc is 2b0 at time 3229937000.
4492
# In  --> received raw crc is 2b0 at time 3229937000.
4493
# In  --> received crc is bff2 at time 3229937000.
4494
# In  --> tmpCrc bff2, at time 3229937000
4495
# In  --> sending ACK at time 3231858000
4496
# RecvBuffer[0]  = 00000000  : 0
4497
# RecvBuffer[1]  = 00000000  : 0
4498
# RecvBuffer[2]  = 00000000  : 0
4499
# RecvBuffer[3]  = 01100011  : 99
4500
# In  --> In task wait for response at time 3238410000
4501
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3238715000
4502
# In  --> Decoder enabled at time 3239413000 in host
4503
# In  --> StopTime = x, SE0StartTime = x
4504
# In  --> receive data = d2
4505
# In  --> StopTime = x, SE0StartTime = x
4506
# In  --> EOP asserted for 2 bit time at time 3240206000
4507
# CntrlTransType = 11
4508
# In  --> In task wait for response at time 3252102000
4509
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3252386000
4510
# In  --> Decoder enabled at time 3253105000 in host
4511
# In  --> StopTime = x, SE0StartTime = x
4512
# In  --> receive data = d2
4513
# In  --> StopTime = x, SE0StartTime = x
4514
# In  --> EOP asserted for 2 bit time at time 3253898000
4515
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4516
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
4517
# In  --> DataToggle is 1
4518
# In  --> DataToggle is 4b at time 3257142000.
4519
# In  --> sending byte[1] = 00000000
4520
# In  --> sending byte[2] = 00000000
4521
# In  --> sending byte[3] = 00000000
4522
# In  --> sending byte[4] = 01100011
4523
# In  --> raw crc is 2b0 at time             3257142
4524
# In  --> sent crc is bff2 at time             3257142
4525
# In  --> In task wait for response at time 3263190000
4526
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3263474000
4527
# In  --> Decoder enabled at time 3264210000 in host
4528
# In  --> StopTime = x, SE0StartTime = x
4529
# In  --> receive data = d2
4530
# In  --> StopTime = x, SE0StartTime = x
4531
# In  --> EOP asserted for 2 bit time at time 3265007000
4532
# In  --> bits received are 7
4533
# In  --> ACK received at time 3265007000.
4534
# Input Address:01, EndPt:0
4535
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
4536
# In  CntrlTransType = 11, WRITE = 11
4537
# In  --> In task wait for response at time 3268230000
4538
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3268619000
4539
# In  --> Decoder enabled at time 3269316000 in host
4540
# In  --> receive data = 4b
4541
# In  --> receive data = 00
4542
# In  --> StopTime = x, SE0StartTime = x
4543
# In  --> receive data = 00
4544
# In  --> StopTime = x, SE0StartTime = x
4545
# In  --> EOP asserted for 2 bit time at time 3271454000
4546
#
4547
# ... Reading the UART Status: 00000063 ...
4548
# CntrlTransType = 10
4549
# In  --> In task wait for response at time 3285282000
4550
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3285566000
4551
# In  --> Decoder enabled at time 3286303000 in host
4552
# In  --> StopTime = x, SE0StartTime = x
4553
# In  --> receive data = d2
4554
# In  --> StopTime = x, SE0StartTime = x
4555
# In  --> EOP asserted for 2 bit time at time 3287099000
4556
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4557
# CntrlTransType = 10
4558
# In  --> In task wait for response at time 3290238000
4559
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3290627000
4560
# In  --> Decoder enabled at time 3291323000 in host
4561
# In  --> receive data = 4b
4562
# In  --> receive data = 00
4563
# In  --> receive data = 00
4564
# In  --> receive data = 00
4565
# In  --> receive data = 00
4566
# In  --> receive data = ff
4567
# In  --> StopTime = x, SE0StartTime = x
4568
# In  --> receive data = db
4569
# In  --> StopTime = x, SE0StartTime = x
4570
# In  --> EOP asserted for 2 bit time at time 3296213000
4571
# In  --> Data toggle recevied is 1001011 at time 3296297000
4572
# In  --> received byte[1] = 00000000
4573
# In  --> received byte[2] = 00000000
4574
# In  --> received byte[3] = 00000000
4575
# In  --> received byte[4] = 00000000
4576
# In  --> calculated crc is 24 at time 3296297000.
4577
# In  --> received raw crc is 24 at time 3296297000.
4578
# In  --> received crc is ffdb at time 3296297000.
4579
# In  --> tmpCrc ffdb, at time 3296297000
4580
# In  --> sending ACK at time 3298218000
4581
# RecvBuffer[0]  = 00000000  : 0
4582
# RecvBuffer[1]  = 00000000  : 0
4583
# RecvBuffer[2]  = 00000000  : 0
4584
# RecvBuffer[3]  = 00000000  : 0
4585
# In  --> In task wait for response at time 3304770000
4586
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3305075000
4587
# In  --> Decoder enabled at time 3305786000 in host
4588
# In  --> StopTime = x, SE0StartTime = x
4589
# In  --> receive data = d2
4590
# In  --> StopTime = x, SE0StartTime = x
4591
# In  --> EOP asserted for 2 bit time at time 3306587000
4592
# CntrlTransType = 10
4593
# In  --> In task wait for response at time 3318462000
4594
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3318767000
4595
# In  --> Decoder enabled at time 3319477000 in host
4596
# In  --> StopTime = x, SE0StartTime = x
4597
# In  --> receive data = d2
4598
# In  --> StopTime = x, SE0StartTime = x
4599
# In  --> EOP asserted for 2 bit time at time 3320279000
4600
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4601
# CntrlTransType = 10
4602
# In  --> In task wait for response at time 3323418000
4603
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3323807000
4604
# In  --> Decoder enabled at time 3324521000 in host
4605
# In  --> receive data = 4b
4606
# In  --> receive data = 00
4607
# In  --> receive data = 00
4608
# In  --> receive data = 00
4609
# In  --> receive data = 0a
4610
# In  --> receive data = 7f
4611
# In  --> StopTime = x, SE0StartTime = x
4612
# In  --> receive data = dc
4613
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  63
4614
# ... Read Data from UART done cnt :        31...
4615
# In  --> StopTime = x, SE0StartTime = x
4616
# In  --> EOP asserted for 2 bit time at time 3329414000
4617
# In  --> Data toggle recevied is 1001011 at time 3329498000
4618
# In  --> received byte[1] = 00000000
4619
# In  --> received byte[2] = 00000000
4620
# In  --> received byte[3] = 00000000
4621
# In  --> received byte[4] = 00001010
4622
# In  --> calculated crc is 1c4 at time 3329498000.
4623
# In  --> received raw crc is 1c4 at time 3329498000.
4624
# In  --> received crc is 7fdc at time 3329498000.
4625
# In  --> tmpCrc 7fdc, at time 3329498000
4626
# In  --> sending ACK at time 3331398000
4627
# RecvBuffer[0]  = 00000000  : 0
4628
# RecvBuffer[1]  = 00000000  : 0
4629
# RecvBuffer[2]  = 00000000  : 0
4630
# RecvBuffer[3]  = 00001010  : 10
4631
# In  --> In task wait for response at time 3337950000
4632
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3338234000
4633
# In  --> Decoder enabled at time 3338963000 in host
4634
# In  --> StopTime = x, SE0StartTime = x
4635
# In  --> receive data = d2
4636
# In  --> StopTime = x, SE0StartTime = x
4637
# In  --> EOP asserted for 2 bit time at time 3339767000
4638
# CntrlTransType = 11
4639
# In  --> In task wait for response at time 3351642000
4640
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3351947000
4641
# In  --> Decoder enabled at time 3352655000 in host
4642
# In  --> StopTime = x, SE0StartTime = x
4643
# In  --> receive data = d2
4644
# In  --> StopTime = x, SE0StartTime = x
4645
# In  --> EOP asserted for 2 bit time at time 3353459000
4646
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4647
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
4648
# In  --> DataToggle is 1
4649
# In  --> DataToggle is 4b at time 3356682000.
4650
# In  --> sending byte[1] = 00000000
4651
# In  --> sending byte[2] = 00000000
4652
# In  --> sending byte[3] = 00000000
4653
# In  --> sending byte[4] = 00001010
4654
# In  --> raw crc is 1c4 at time             3356682
4655
# In  --> sent crc is 7fdc at time             3356682
4656
# In  --> In task wait for response at time 3362730000
4657
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3363035000
4658
# In  --> Decoder enabled at time 3363742000 in host
4659
# In  --> StopTime = x, SE0StartTime = x
4660
# In  --> receive data = d2
4661
# In  --> StopTime = x, SE0StartTime = x
4662
# In  --> EOP asserted for 2 bit time at time 3364547000
4663
# In  --> bits received are 7
4664
# In  --> ACK received at time 3364547000.
4665
# Input Address:01, EndPt:0
4666
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
4667
# In  CntrlTransType = 11, WRITE = 11
4668
# In  --> In task wait for response at time 3367770000
4669
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3368159000
4670
# In  --> Decoder enabled at time 3368871000 in host
4671
# In  --> receive data = 4b
4672
# In  --> receive data = 00
4673
# In  --> StopTime = x, SE0StartTime = x
4674
# In  --> receive data = 00
4675
# In  --> StopTime = x, SE0StartTime = x
4676
# In  --> EOP asserted for 2 bit time at time 3371015000
4677
#
4678
# ... Reading the UART Status: 0000000a ...
4679
# CntrlTransType = 10
4680
# In  --> In task wait for response at time 3384822000
4681
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3385127000
4682
# In  --> Decoder enabled at time 3385835000 in host
4683
# In  --> StopTime = x, SE0StartTime = x
4684
# In  --> receive data = d2
4685
# In  --> StopTime = x, SE0StartTime = x
4686
# In  --> EOP asserted for 2 bit time at time 3386639000
4687
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4688
# CntrlTransType = 10
4689
# In  --> In task wait for response at time 3389778000
4690
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3390167000
4691
# In  --> Decoder enabled at time 3390875000 in host
4692
# In  --> receive data = 4b
4693
# In  --> receive data = 00
4694
# In  --> receive data = 00
4695
# In  --> receive data = 00
4696
# In  --> receive data = 00
4697
# In  --> receive data = ff
4698
# In  --> StopTime = x, SE0StartTime = x
4699
# In  --> receive data = db
4700
# In  --> StopTime = x, SE0StartTime = x
4701
# In  --> EOP asserted for 2 bit time at time 3395774000
4702
# In  --> Data toggle recevied is 1001011 at time 3395858000
4703
# In  --> received byte[1] = 00000000
4704
# In  --> received byte[2] = 00000000
4705
# In  --> received byte[3] = 00000000
4706
# In  --> received byte[4] = 00000000
4707
# In  --> calculated crc is 24 at time 3395858000.
4708
# In  --> received raw crc is 24 at time 3395858000.
4709
# In  --> received crc is ffdb at time 3395858000.
4710
# In  --> tmpCrc ffdb, at time 3395858000
4711
# In  --> sending ACK at time 3397758000
4712
# RecvBuffer[0]  = 00000000  : 0
4713
# RecvBuffer[1]  = 00000000  : 0
4714
# RecvBuffer[2]  = 00000000  : 0
4715
# RecvBuffer[3]  = 00000000  : 0
4716
# In  --> In task wait for response at time 3404310000
4717
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3404594000
4718
# In  --> Decoder enabled at time 3405317000 in host
4719
# In  --> StopTime = x, SE0StartTime = x
4720
# In  --> receive data = d2
4721
# In  --> StopTime = x, SE0StartTime = x
4722
# In  --> EOP asserted for 2 bit time at time 3406106000
4723
# CntrlTransType = 10
4724
# In  --> In task wait for response at time 3418002000
4725
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3418286000
4726
# In  --> Decoder enabled at time 3419009000 in host
4727
# In  --> StopTime = x, SE0StartTime = x
4728
# In  --> receive data = d2
4729
# In  --> StopTime = x, SE0StartTime = x
4730
# In  --> EOP asserted for 2 bit time at time 3419798000
4731
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4732
# CntrlTransType = 10
4733
# In  --> In task wait for response at time 3422958000
4734
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3423326000
4735
# In  --> Decoder enabled at time 3424053000 in host
4736
# In  --> receive data = 4b
4737
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  0a
4738
# ... Read Data from UART done cnt :        32...
4739
# In  --> receive data = 00
4740
# In  --> receive data = 00
4741
# In  --> receive data = 00
4742
# In  --> receive data = 80
4743
# In  --> receive data = fe
4744
# In  --> StopTime = x, SE0StartTime = x
4745
# In  --> receive data = 7b
4746
# In  --> StopTime = x, SE0StartTime = x
4747
# In  --> EOP asserted for 2 bit time at time 3428933000
4748
# In  --> Data toggle recevied is 1001011 at time 3429017000
4749
# In  --> received byte[1] = 00000000
4750
# In  --> received byte[2] = 00000000
4751
# In  --> received byte[3] = 00000000
4752
# In  --> received byte[4] = 10000000
4753
# In  --> calculated crc is 8021 at time 3429017000.
4754
# In  --> received raw crc is 8021 at time 3429017000.
4755
# In  --> received crc is fe7b at time 3429017000.
4756
# In  --> tmpCrc fe7b, at time 3429017000
4757
# In  --> sending ACK at time 3430938000
4758
# RecvBuffer[0]  = 00000000  : 0
4759
# RecvBuffer[1]  = 00000000  : 0
4760
# RecvBuffer[2]  = 00000000  : 0
4761
# RecvBuffer[3]  = 10000000  : 128
4762
# In  --> In task wait for response at time 3437490000
4763
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3437795000
4764
# In  --> Decoder enabled at time 3438495000 in host
4765
# In  --> StopTime = x, SE0StartTime = x
4766
# In  --> receive data = d2
4767
# In  --> StopTime = x, SE0StartTime = x
4768
# In  --> EOP asserted for 2 bit time at time 3439307000
4769
# CntrlTransType = 11
4770
# In  --> In task wait for response at time 3451182000
4771
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3451487000
4772
# In  --> Decoder enabled at time 3452187000 in host
4773
# In  --> StopTime = x, SE0StartTime = x
4774
# In  --> receive data = d2
4775
# In  --> StopTime = x, SE0StartTime = x
4776
# In  --> EOP asserted for 2 bit time at time 3452999000
4777
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4778
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
4779
# In  --> DataToggle is 1
4780
# In  --> DataToggle is 4b at time 3456222000.
4781
# In  --> sending byte[1] = 00000000
4782
# In  --> sending byte[2] = 00000000
4783
# In  --> sending byte[3] = 00000000
4784
# In  --> sending byte[4] = 10000000
4785
# In  --> raw crc is 8021 at time             3456222
4786
# In  --> sent crc is fe7b at time             3456222
4787
# In  --> In task wait for response at time 3462270000
4788
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3462575000
4789
# In  --> Decoder enabled at time 3463273000 in host
4790
# In  --> StopTime = x, SE0StartTime = x
4791
# In  --> receive data = d2
4792
# In  --> StopTime = x, SE0StartTime = x
4793
# In  --> EOP asserted for 2 bit time at time 3464087000
4794
# In  --> bits received are 7
4795
# In  --> ACK received at time 3464087000.
4796
# Input Address:01, EndPt:0
4797
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
4798
# In  CntrlTransType = 11, WRITE = 11
4799
# In  --> In task wait for response at time 3467310000
4800
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3467699000
4801
# In  --> Decoder enabled at time 3468403000 in host
4802
# In  --> receive data = 4b
4803
# In  --> receive data = 00
4804
# In  --> StopTime = x, SE0StartTime = x
4805
# In  --> receive data = 00
4806
# In  --> StopTime = x, SE0StartTime = x
4807
# In  --> EOP asserted for 2 bit time at time 3470534000
4808
#
4809
# ... Reading the UART Status: 00000082 ...
4810
# CntrlTransType = 10
4811
# In  --> In task wait for response at time 3484362000
4812
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3484646000
4813
# In  --> Decoder enabled at time 3485364000 in host
4814
# In  --> StopTime = x, SE0StartTime = x
4815
# In  --> receive data = d2
4816
# In  --> StopTime = x, SE0StartTime = x
4817
# In  --> EOP asserted for 2 bit time at time 3486158000
4818
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4819
# CntrlTransType = 10
4820
# In  --> In task wait for response at time 3489318000
4821
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3489686000
4822
# In  --> Decoder enabled at time 3490410000 in host
4823
# In  --> receive data = 4b
4824
# In  --> receive data = 00
4825
# In  --> receive data = 00
4826
# In  --> receive data = 00
4827
# In  --> receive data = 00
4828
# In  --> receive data = ff
4829
# In  --> StopTime = x, SE0StartTime = x
4830
# In  --> receive data = db
4831
# In  --> StopTime = x, SE0StartTime = x
4832
# In  --> EOP asserted for 2 bit time at time 3495293000
4833
# In  --> Data toggle recevied is 1001011 at time 3495377000
4834
# In  --> received byte[1] = 00000000
4835
# In  --> received byte[2] = 00000000
4836
# In  --> received byte[3] = 00000000
4837
# In  --> received byte[4] = 00000000
4838
# In  --> calculated crc is 24 at time 3495377000.
4839
# In  --> received raw crc is 24 at time 3495377000.
4840
# In  --> received crc is ffdb at time 3495377000.
4841
# In  --> tmpCrc ffdb, at time 3495377000
4842
# In  --> sending ACK at time 3497298000
4843
# RecvBuffer[0]  = 00000000  : 0
4844
# RecvBuffer[1]  = 00000000  : 0
4845
# RecvBuffer[2]  = 00000000  : 0
4846
# RecvBuffer[3]  = 00000000  : 0
4847
# In  --> In task wait for response at time 3503850000
4848
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3504155000
4849
# In  --> Decoder enabled at time 3504873000 in host
4850
# In  --> StopTime = x, SE0StartTime = x
4851
# In  --> receive data = d2
4852
# In  --> StopTime = x, SE0StartTime = x
4853
# In  --> EOP asserted for 2 bit time at time 3505667000
4854
# CntrlTransType = 10
4855
# In  --> In task wait for response at time 3517542000
4856
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3517847000
4857
# In  --> Decoder enabled at time 3518565000 in host
4858
# In  --> StopTime = x, SE0StartTime = x
4859
# In  --> receive data = d2
4860
# In  --> StopTime = x, SE0StartTime = x
4861
# In  --> EOP asserted for 2 bit time at time 3519359000
4862
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4863
# CntrlTransType = 10
4864
# In  --> In task wait for response at time 3522498000
4865
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3522887000
4866
# In  --> Decoder enabled at time 3523584000 in host
4867
# In  --> receive data = 4b
4868
# In  --> receive data = 00
4869
# In  --> receive data = 00
4870
# In  --> receive data = 00
4871
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  80
4872
# ... Read Data from UART done cnt :        33...
4873
# In  --> receive data = 20
4874
# In  --> receive data = fe
4875
# In  --> StopTime = x, SE0StartTime = x
4876
# In  --> receive data = 03
4877
# In  --> StopTime = x, SE0StartTime = x
4878
# In  --> EOP asserted for 2 bit time at time 3528473000
4879
# In  --> Data toggle recevied is 1001011 at time 3528557000
4880
# In  --> received byte[1] = 00000000
4881
# In  --> received byte[2] = 00000000
4882
# In  --> received byte[3] = 00000000
4883
# In  --> received byte[4] = 00100000
4884
# In  --> calculated crc is 803f at time 3528557000.
4885
# In  --> received raw crc is 803f at time 3528557000.
4886
# In  --> received crc is fe03 at time 3528557000.
4887
# In  --> tmpCrc fe03, at time 3528557000
4888
# In  --> sending ACK at time 3530478000
4889
# RecvBuffer[0]  = 00000000  : 0
4890
# RecvBuffer[1]  = 00000000  : 0
4891
# RecvBuffer[2]  = 00000000  : 0
4892
# RecvBuffer[3]  = 00100000  : 32
4893
# In  --> In task wait for response at time 3537030000
4894
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3537335000
4895
# In  --> Decoder enabled at time 3538047000 in host
4896
# In  --> StopTime = x, SE0StartTime = x
4897
# In  --> receive data = d2
4898
# In  --> StopTime = x, SE0StartTime = x
4899
# In  --> EOP asserted for 2 bit time at time 3538847000
4900
# CntrlTransType = 11
4901
# In  --> In task wait for response at time 3550722000
4902
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3551027000
4903
# In  --> Decoder enabled at time 3551742000 in host
4904
# In  --> StopTime = x, SE0StartTime = x
4905
# In  --> receive data = d2
4906
# In  --> StopTime = x, SE0StartTime = x
4907
# In  --> EOP asserted for 2 bit time at time 3552539000
4908
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4909
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
4910
# In  --> DataToggle is 1
4911
# In  --> DataToggle is 4b at time 3555762000.
4912
# In  --> sending byte[1] = 00000000
4913
# In  --> sending byte[2] = 00000000
4914
# In  --> sending byte[3] = 00000000
4915
# In  --> sending byte[4] = 00100000
4916
# In  --> raw crc is 803f at time             3555762
4917
# In  --> sent crc is fe03 at time             3555762
4918
# In  --> In task wait for response at time 3561810000
4919
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3562115000
4920
# In  --> Decoder enabled at time 3562829000 in host
4921
# In  --> StopTime = x, SE0StartTime = x
4922
# In  --> receive data = d2
4923
# In  --> StopTime = x, SE0StartTime = x
4924
# In  --> EOP asserted for 2 bit time at time 3563627000
4925
# In  --> bits received are 7
4926
# In  --> ACK received at time 3563627000.
4927
# Input Address:01, EndPt:0
4928
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
4929
# In  CntrlTransType = 11, WRITE = 11
4930
# In  --> In task wait for response at time 3566850000
4931
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3567239000
4932
# In  --> Decoder enabled at time 3567953000 in host
4933
# In  --> receive data = 4b
4934
# In  --> receive data = 00
4935
# In  --> StopTime = x, SE0StartTime = x
4936
# In  --> receive data = 00
4937
# In  --> StopTime = x, SE0StartTime = x
4938
# In  --> EOP asserted for 2 bit time at time 3570095000
4939
#
4940
# ... Reading the UART Status: 00000022 ...
4941
# CntrlTransType = 10
4942
# In  --> In task wait for response at time 3583902000
4943
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3584207000
4944
# In  --> Decoder enabled at time 3584917000 in host
4945
# In  --> StopTime = x, SE0StartTime = x
4946
# In  --> receive data = d2
4947
# In  --> StopTime = x, SE0StartTime = x
4948
# In  --> EOP asserted for 2 bit time at time 3585719000
4949
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4950
# CntrlTransType = 10
4951
# In  --> In task wait for response at time 3588858000
4952
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3589163000
4953
# In  --> Decoder enabled at time 3589876000 in host
4954
# In  --> receive data = 4b
4955
# In  --> receive data = 00
4956
# In  --> receive data = 00
4957
# In  --> receive data = 00
4958
# In  --> receive data = 00
4959
# In  --> receive data = ff
4960
# In  --> StopTime = x, SE0StartTime = x
4961
# In  --> receive data = db
4962
# In  --> StopTime = x, SE0StartTime = x
4963
# In  --> EOP asserted for 2 bit time at time 3594770000
4964
# In  --> Data toggle recevied is 1001011 at time 3594854000
4965
# In  --> received byte[1] = 00000000
4966
# In  --> received byte[2] = 00000000
4967
# In  --> received byte[3] = 00000000
4968
# In  --> received byte[4] = 00000000
4969
# In  --> calculated crc is 24 at time 3594854000.
4970
# In  --> received raw crc is 24 at time 3594854000.
4971
# In  --> received crc is ffdb at time 3594854000.
4972
# In  --> tmpCrc ffdb, at time 3594854000
4973
# In  --> sending ACK at time 3596754000
4974
# RecvBuffer[0]  = 00000000  : 0
4975
# RecvBuffer[1]  = 00000000  : 0
4976
# RecvBuffer[2]  = 00000000  : 0
4977
# RecvBuffer[3]  = 00000000  : 0
4978
# In  --> In task wait for response at time 3603306000
4979
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3603590000
4980
# In  --> Decoder enabled at time 3604319000 in host
4981
# In  --> StopTime = x, SE0StartTime = x
4982
# In  --> receive data = d2
4983
# In  --> StopTime = x, SE0StartTime = x
4984
# In  --> EOP asserted for 2 bit time at time 3605123000
4985
# CntrlTransType = 10
4986
# In  --> In task wait for response at time 3616998000
4987
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3617303000
4988
# In  --> Decoder enabled at time 3618010000 in host
4989
# In  --> StopTime = x, SE0StartTime = x
4990
# In  --> receive data = d2
4991
# In  --> StopTime = x, SE0StartTime = x
4992
# In  --> EOP asserted for 2 bit time at time 3618815000
4993
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4994
# CntrlTransType = 10
4995
# In  --> In task wait for response at time 3621954000
4996
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3622343000
4997
# In  --> Decoder enabled at time 3623054000 in host
4998
# In  --> receive data = 4b
4999
# In  --> receive data = 00
5000
# In  --> receive data = 00
5001
# In  --> receive data = 00
5002
# In  --> receive data = aa
5003
# In  --> receive data = 7f
5004
# In  --> StopTime = x, SE0StartTime = x
5005
# In  --> receive data = a4
5006
# In  --> StopTime = x, SE0StartTime = x
5007
# In  --> EOP asserted for 2 bit time at time 3627950000
5008
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  20
5009
# ... Read Data from UART done cnt :        34...
5010
# In  --> Data toggle recevied is 1001011 at time 3628034000
5011
# In  --> received byte[1] = 00000000
5012
# In  --> received byte[2] = 00000000
5013
# In  --> received byte[3] = 00000000
5014
# In  --> received byte[4] = 10101010
5015
# In  --> calculated crc is 1da at time 3628034000.
5016
# In  --> received raw crc is 1da at time 3628034000.
5017
# In  --> received crc is 7fa4 at time 3628034000.
5018
# In  --> tmpCrc 7fa4, at time 3628034000
5019
# In  --> sending ACK at time 3629934000
5020
# RecvBuffer[0]  = 00000000  : 0
5021
# RecvBuffer[1]  = 00000000  : 0
5022
# RecvBuffer[2]  = 00000000  : 0
5023
# RecvBuffer[3]  = 10101010  : 170
5024
# In  --> In task wait for response at time 3636486000
5025
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3636770000
5026
# In  --> Decoder enabled at time 3637496000 in host
5027
# In  --> StopTime = x, SE0StartTime = x
5028
# In  --> receive data = d2
5029
# In  --> StopTime = x, SE0StartTime = x
5030
# In  --> EOP asserted for 2 bit time at time 3638282000
5031
# CntrlTransType = 11
5032
# In  --> In task wait for response at time 3650178000
5033
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3650462000
5034
# In  --> Decoder enabled at time 3651188000 in host
5035
# In  --> StopTime = x, SE0StartTime = x
5036
# In  --> receive data = d2
5037
# In  --> StopTime = x, SE0StartTime = x
5038
# In  --> EOP asserted for 2 bit time at time 3651974000
5039
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5040
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
5041
# In  --> DataToggle is 1
5042
# In  --> DataToggle is 4b at time 3655218000.
5043
# In  --> sending byte[1] = 00000000
5044
# In  --> sending byte[2] = 00000000
5045
# In  --> sending byte[3] = 00000000
5046
# In  --> sending byte[4] = 10101010
5047
# In  --> raw crc is 1da at time             3655218
5048
# In  --> sent crc is 7fa4 at time             3655218
5049
# In  --> In task wait for response at time 3661266000
5050
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3661550000
5051
# In  --> Decoder enabled at time 3662275000 in host
5052
# In  --> StopTime = x, SE0StartTime = x
5053
# In  --> receive data = d2
5054
# In  --> StopTime = x, SE0StartTime = x
5055
# In  --> EOP asserted for 2 bit time at time 3663062000
5056
# In  --> bits received are 7
5057
# In  --> ACK received at time 3663062000.
5058
# Input Address:01, EndPt:0
5059
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
5060
# In  CntrlTransType = 11, WRITE = 11
5061
# In  --> In task wait for response at time 3666306000
5062
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3666674000
5063
# In  --> Decoder enabled at time 3667404000 in host
5064
# In  --> receive data = 4b
5065
# In  --> receive data = 00
5066
# In  --> StopTime = x, SE0StartTime = x
5067
# In  --> receive data = 00
5068
# In  --> StopTime = x, SE0StartTime = x
5069
# In  --> EOP asserted for 2 bit time at time 3669530000
5070
#
5071
# ... Reading the UART Status: 000000aa ...
5072
# CntrlTransType = 10
5073
# In  --> In task wait for response at time 3683358000
5074
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3683642000
5075
# In  --> Decoder enabled at time 3684368000 in host
5076
# In  --> StopTime = x, SE0StartTime = x
5077
# In  --> receive data = d2
5078
# In  --> StopTime = x, SE0StartTime = x
5079
# In  --> EOP asserted for 2 bit time at time 3685154000
5080
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5081
# CntrlTransType = 10
5082
# In  --> In task wait for response at time 3688314000
5083
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3688682000
5084
# In  --> Decoder enabled at time 3689408000 in host
5085
# In  --> receive data = 4b
5086
# In  --> receive data = 00
5087
# In  --> receive data = 00
5088
# In  --> receive data = 00
5089
# In  --> receive data = 00
5090
# In  --> receive data = ff
5091
# In  --> StopTime = x, SE0StartTime = x
5092
# In  --> receive data = db
5093
# In  --> StopTime = x, SE0StartTime = x
5094
# In  --> EOP asserted for 2 bit time at time 3694289000
5095
# In  --> Data toggle recevied is 1001011 at time 3694373000
5096
# In  --> received byte[1] = 00000000
5097
# In  --> received byte[2] = 00000000
5098
# In  --> received byte[3] = 00000000
5099
# In  --> received byte[4] = 00000000
5100
# In  --> calculated crc is 24 at time 3694373000.
5101
# In  --> received raw crc is 24 at time 3694373000.
5102
# In  --> received crc is ffdb at time 3694373000.
5103
# In  --> tmpCrc ffdb, at time 3694373000
5104
# In  --> sending ACK at time 3696294000
5105
# RecvBuffer[0]  = 00000000  : 0
5106
# RecvBuffer[1]  = 00000000  : 0
5107
# RecvBuffer[2]  = 00000000  : 0
5108
# RecvBuffer[3]  = 00000000  : 0
5109
# In  --> In task wait for response at time 3702846000
5110
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3703151000
5111
# In  --> Decoder enabled at time 3703850000 in host
5112
# In  --> StopTime = x, SE0StartTime = x
5113
# In  --> receive data = d2
5114
# In  --> StopTime = x, SE0StartTime = x
5115
# In  --> EOP asserted for 2 bit time at time 3704663000
5116
# CntrlTransType = 10
5117
# In  --> In task wait for response at time 3716538000
5118
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3716843000
5119
# In  --> Decoder enabled at time 3717542000 in host
5120
# In  --> StopTime = x, SE0StartTime = x
5121
# In  --> receive data = d2
5122
# In  --> StopTime = x, SE0StartTime = x
5123
# In  --> EOP asserted for 2 bit time at time 3718355000
5124
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5125
# CntrlTransType = 10
5126
# In  --> In task wait for response at time 3721494000
5127
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3721883000
5128
# In  --> Decoder enabled at time 3722586000 in host
5129
# In  --> receive data = 4b
5130
# In  --> receive data = 00
5131
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  aa
5132
# ... Read Data from UART done cnt :        35...
5133
# In  --> receive data = 00
5134
# In  --> receive data = 00
5135
# In  --> receive data = 9d
5136
# In  --> receive data = 3e
5137
# In  --> StopTime = x, SE0StartTime = x
5138
# In  --> receive data = 72
5139
# In  --> StopTime = x, SE0StartTime = x
5140
# In  --> EOP asserted for 2 bit time at time 3727385000
5141
# In  --> Data toggle recevied is 1001011 at time 3727469000
5142
# In  --> received byte[1] = 00000000
5143
# In  --> received byte[2] = 00000000
5144
# In  --> received byte[3] = 00000000
5145
# In  --> received byte[4] = 10011101
5146
# In  --> calculated crc is 83b1 at time 3727469000.
5147
# In  --> received raw crc is 83b1 at time 3727469000.
5148
# In  --> received crc is 3e72 at time 3727469000.
5149
# In  --> tmpCrc 3e72, at time 3727469000
5150
# In  --> sending ACK at time 3729390000
5151
# RecvBuffer[0]  = 00000000  : 0
5152
# RecvBuffer[1]  = 00000000  : 0
5153
# RecvBuffer[2]  = 00000000  : 0
5154
# RecvBuffer[3]  = 10011101  : 157
5155
# In  --> In task wait for response at time 3735942000
5156
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3736247000
5157
# In  --> Decoder enabled at time 3736944000 in host
5158
# In  --> StopTime = x, SE0StartTime = x
5159
# In  --> receive data = d2
5160
# In  --> StopTime = x, SE0StartTime = x
5161
# In  --> EOP asserted for 2 bit time at time 3737738000
5162
# CntrlTransType = 11
5163
# In  --> In task wait for response at time 3749634000
5164
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3749918000
5165
# In  --> Decoder enabled at time 3750639000 in host
5166
# In  --> StopTime = x, SE0StartTime = x
5167
# In  --> receive data = d2
5168
# In  --> StopTime = x, SE0StartTime = x
5169
# In  --> EOP asserted for 2 bit time at time 3751430000
5170
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5171
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
5172
# In  --> DataToggle is 1
5173
# In  --> DataToggle is 4b at time 3754674000.
5174
# In  --> sending byte[1] = 00000000
5175
# In  --> sending byte[2] = 00000000
5176
# In  --> sending byte[3] = 00000000
5177
# In  --> sending byte[4] = 10011101
5178
# In  --> raw crc is 83b1 at time             3754674
5179
# In  --> sent crc is 3e72 at time             3754674
5180
# In  --> In task wait for response at time 3760638000
5181
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3760922000
5182
# In  --> Decoder enabled at time 3761640000 in host
5183
# In  --> StopTime = x, SE0StartTime = x
5184
# In  --> receive data = d2
5185
# In  --> StopTime = x, SE0StartTime = x
5186
# In  --> EOP asserted for 2 bit time at time 3762434000
5187
# In  --> bits received are 7
5188
# In  --> ACK received at time 3762434000.
5189
# Input Address:01, EndPt:0
5190
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
5191
# In  CntrlTransType = 11, WRITE = 11
5192
# In  --> In task wait for response at time 3765678000
5193
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3766046000
5194
# In  --> Decoder enabled at time 3766766000 in host
5195
# In  --> receive data = 4b
5196
# In  --> receive data = 00
5197
# In  --> StopTime = x, SE0StartTime = x
5198
# In  --> receive data = 00
5199
# In  --> StopTime = x, SE0StartTime = x
5200
# In  --> EOP asserted for 2 bit time at time 3768902000
5201
#
5202
# ... Reading the UART Status: 0000009f ...
5203
# CntrlTransType = 10
5204
# In  --> In task wait for response at time 3782730000
5205
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3783014000
5206
# In  --> Decoder enabled at time 3783733000 in host
5207
# In  --> StopTime = x, SE0StartTime = x
5208
# In  --> receive data = d2
5209
# In  --> StopTime = x, SE0StartTime = x
5210
# In  --> EOP asserted for 2 bit time at time 3784526000
5211
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5212
# CntrlTransType = 10
5213
# In  --> In task wait for response at time 3787686000
5214
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3788054000
5215
# In  --> Decoder enabled at time 3788773000 in host
5216
# In  --> receive data = 4b
5217
# In  --> receive data = 00
5218
# In  --> receive data = 00
5219
# In  --> receive data = 00
5220
# In  --> receive data = 00
5221
# In  --> receive data = ff
5222
# In  --> StopTime = x, SE0StartTime = x
5223
# In  --> receive data = db
5224
# In  --> StopTime = x, SE0StartTime = x
5225
# In  --> EOP asserted for 2 bit time at time 3793661000
5226
# In  --> Data toggle recevied is 1001011 at time 3793745000
5227
# In  --> received byte[1] = 00000000
5228
# In  --> received byte[2] = 00000000
5229
# In  --> received byte[3] = 00000000
5230
# In  --> received byte[4] = 00000000
5231
# In  --> calculated crc is 24 at time 3793745000.
5232
# In  --> received raw crc is 24 at time 3793745000.
5233
# In  --> received crc is ffdb at time 3793745000.
5234
# In  --> tmpCrc ffdb, at time 3793745000
5235
# In  --> sending ACK at time 3795666000
5236
# RecvBuffer[0]  = 00000000  : 0
5237
# RecvBuffer[1]  = 00000000  : 0
5238
# RecvBuffer[2]  = 00000000  : 0
5239
# RecvBuffer[3]  = 00000000  : 0
5240
# In  --> In task wait for response at time 3802218000
5241
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3802523000
5242
# In  --> Decoder enabled at time 3803236000 in host
5243
# In  --> StopTime = x, SE0StartTime = x
5244
# In  --> receive data = d2
5245
# In  --> StopTime = x, SE0StartTime = x
5246
# In  --> EOP asserted for 2 bit time at time 3804035000
5247
# CntrlTransType = 10
5248
# In  --> In task wait for response at time 3815910000
5249
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3816215000
5250
# In  --> Decoder enabled at time 3816931000 in host
5251
# In  --> StopTime = x, SE0StartTime = x
5252
# In  --> receive data = d2
5253
# In  --> StopTime = x, SE0StartTime = x
5254
# In  --> EOP asserted for 2 bit time at time 3817727000
5255
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5256
# CntrlTransType = 10
5257
# In  --> In task wait for response at time 3820866000
5258
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3821255000
5259
# In  --> Decoder enabled at time 3821951000 in host
5260
# In  --> receive data = 4b
5261
# In  --> receive data = 00
5262
# In  --> receive data = 00
5263
# In  --> receive data = 00
5264
# In  --> receive data = 96
5265
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  9d
5266
# ... Read Data from UART done cnt :        36...
5267
# In  --> receive data = 7f
5268
# In  --> StopTime = x, SE0StartTime = x
5269
# In  --> receive data = b5
5270
# In  --> StopTime = x, SE0StartTime = x
5271
# In  --> EOP asserted for 2 bit time at time 3826841000
5272
# In  --> Data toggle recevied is 1001011 at time 3826925000
5273
# In  --> received byte[1] = 00000000
5274
# In  --> received byte[2] = 00000000
5275
# In  --> received byte[3] = 00000000
5276
# In  --> received byte[4] = 10010110
5277
# In  --> calculated crc is 152 at time 3826925000.
5278
# In  --> received raw crc is 152 at time 3826925000.
5279
# In  --> received crc is 7fb5 at time 3826925000.
5280
# In  --> tmpCrc 7fb5, at time 3826925000
5281
# In  --> sending ACK at time 3828846000
5282
# RecvBuffer[0]  = 00000000  : 0
5283
# RecvBuffer[1]  = 00000000  : 0
5284
# RecvBuffer[2]  = 00000000  : 0
5285
# RecvBuffer[3]  = 10010110  : 150
5286
# In  --> In task wait for response at time 3835398000
5287
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3835703000
5288
# In  --> Decoder enabled at time 3836414000 in host
5289
# In  --> StopTime = x, SE0StartTime = x
5290
# In  --> receive data = d2
5291
# In  --> StopTime = x, SE0StartTime = x
5292
# In  --> EOP asserted for 2 bit time at time 3837215000
5293
# CntrlTransType = 11
5294
# In  --> In task wait for response at time 3849090000
5295
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3849395000
5296
# In  --> Decoder enabled at time 3850106000 in host
5297
# In  --> StopTime = x, SE0StartTime = x
5298
# In  --> receive data = d2
5299
# In  --> StopTime = x, SE0StartTime = x
5300
# In  --> EOP asserted for 2 bit time at time 3850907000
5301
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5302
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
5303
# In  --> DataToggle is 1
5304
# In  --> DataToggle is 4b at time 3854130000.
5305
# In  --> sending byte[1] = 00000000
5306
# In  --> sending byte[2] = 00000000
5307
# In  --> sending byte[3] = 00000000
5308
# In  --> sending byte[4] = 10010110
5309
# In  --> raw crc is 152 at time             3854130
5310
# In  --> sent crc is 7fb5 at time             3854130
5311
# In  --> In task wait for response at time 3860178000
5312
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3860483000
5313
# In  --> Decoder enabled at time 3861192000 in host
5314
# In  --> StopTime = x, SE0StartTime = x
5315
# In  --> receive data = d2
5316
# In  --> StopTime = x, SE0StartTime = x
5317
# In  --> EOP asserted for 2 bit time at time 3861995000
5318
# In  --> bits received are 7
5319
# In  --> ACK received at time 3861995000.
5320
# Input Address:01, EndPt:0
5321
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
5322
# In  CntrlTransType = 11, WRITE = 11
5323
# In  --> In task wait for response at time 3865218000
5324
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3865523000
5325
# In  --> Decoder enabled at time 3866236000 in host
5326
# In  --> receive data = 4b
5327
# In  --> receive data = 00
5328
# In  --> StopTime = x, SE0StartTime = x
5329
# In  --> receive data = 00
5330
# In  --> StopTime = x, SE0StartTime = x
5331
# In  --> EOP asserted for 2 bit time at time 3868379000
5332
#
5333
# ... Reading the UART Status: 00000096 ...
5334
# CntrlTransType = 10
5335
# In  --> In task wait for response at time 3882186000
5336
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3882491000
5337
# In  --> Decoder enabled at time 3883199000 in host
5338
# In  --> StopTime = x, SE0StartTime = x
5339
# In  --> receive data = d2
5340
# In  --> StopTime = x, SE0StartTime = x
5341
# In  --> EOP asserted for 2 bit time at time 3884003000
5342
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5343
# CntrlTransType = 10
5344
# In  --> In task wait for response at time 3887142000
5345
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3887447000
5346
# In  --> Decoder enabled at time 3888159000 in host
5347
# In  --> receive data = 4b
5348
# In  --> receive data = 00
5349
# In  --> receive data = 00
5350
# In  --> receive data = 00
5351
# In  --> receive data = 00
5352
# In  --> receive data = ff
5353
# In  --> StopTime = x, SE0StartTime = x
5354
# In  --> receive data = db
5355
# In  --> StopTime = x, SE0StartTime = x
5356
# In  --> EOP asserted for 2 bit time at time 3893054000
5357
# In  --> Data toggle recevied is 1001011 at time 3893138000
5358
# In  --> received byte[1] = 00000000
5359
# In  --> received byte[2] = 00000000
5360
# In  --> received byte[3] = 00000000
5361
# In  --> received byte[4] = 00000000
5362
# In  --> calculated crc is 24 at time 3893138000.
5363
# In  --> received raw crc is 24 at time 3893138000.
5364
# In  --> received crc is ffdb at time 3893138000.
5365
# In  --> tmpCrc ffdb, at time 3893138000
5366
# In  --> sending ACK at time 3895038000
5367
# RecvBuffer[0]  = 00000000  : 0
5368
# RecvBuffer[1]  = 00000000  : 0
5369
# RecvBuffer[2]  = 00000000  : 0
5370
# RecvBuffer[3]  = 00000000  : 0
5371
# In  --> In task wait for response at time 3901590000
5372
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3901874000
5373
# In  --> Decoder enabled at time 3902602000 in host
5374
# In  --> StopTime = x, SE0StartTime = x
5375
# In  --> receive data = d2
5376
# In  --> StopTime = x, SE0StartTime = x
5377
# In  --> EOP asserted for 2 bit time at time 3903386000
5378
# CntrlTransType = 10
5379
# In  --> In task wait for response at time 3915282000
5380
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3915566000
5381
# In  --> Decoder enabled at time 3916293000 in host
5382
# In  --> StopTime = x, SE0StartTime = x
5383
# In  --> receive data = d2
5384
# In  --> StopTime = x, SE0StartTime = x
5385
# In  --> EOP asserted for 2 bit time at time 3917078000
5386
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5387
# CntrlTransType = 10
5388
# In  --> In task wait for response at time 3920238000
5389
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3920606000
5390
# In  --> Decoder enabled at time 3921337000 in host
5391
# In  --> receive data = 4b
5392
# In  --> receive data = 00
5393
# In  --> receive data = 00
5394
# In  --> receive data = 00
5395
# In  --> receive data = 13
5396
# In  --> receive data = be
5397
# In  --> StopTime = x, SE0StartTime = x
5398
# In  --> receive data = 16
5399
# In  --> StopTime = x, SE0StartTime = x
5400
# In  --> EOP asserted for 2 bit time at time 3926150000
5401
# In  --> Data toggle recevied is 1001011 at time 3926234000
5402
# In  --> received byte[1] = 00000000
5403
# In  --> received byte[2] = 00000000
5404
# In  --> received byte[3] = 00000000
5405
# In  --> received byte[4] = 00010011
5406
# In  --> calculated crc is 8297 at time 3926234000.
5407
# In  --> received raw crc is 8297 at time 3926234000.
5408
# In  --> received crc is be16 at time 3926234000.
5409
# In  --> tmpCrc be16, at time 3926234000
5410
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  96
5411
# ... Read Data from UART done cnt :        37...
5412
# In  --> sending ACK at time 3928134000
5413
# RecvBuffer[0]  = 00000000  : 0
5414
# RecvBuffer[1]  = 00000000  : 0
5415
# RecvBuffer[2]  = 00000000  : 0
5416
# RecvBuffer[3]  = 00010011  : 19
5417
# In  --> In task wait for response at time 3934686000
5418
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3934970000
5419
# In  --> Decoder enabled at time 3935695000 in host
5420
# In  --> StopTime = x, SE0StartTime = x
5421
# In  --> receive data = d2
5422
# In  --> StopTime = x, SE0StartTime = x
5423
# In  --> EOP asserted for 2 bit time at time 3936482000
5424
# CntrlTransType = 11
5425
# In  --> In task wait for response at time 3948378000
5426
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3948662000
5427
# In  --> Decoder enabled at time 3949387000 in host
5428
# In  --> StopTime = x, SE0StartTime = x
5429
# In  --> receive data = d2
5430
# In  --> StopTime = x, SE0StartTime = x
5431
# In  --> EOP asserted for 2 bit time at time 3950174000
5432
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5433
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
5434
# In  --> DataToggle is 1
5435
# In  --> DataToggle is 4b at time 3953418000.
5436
# In  --> sending byte[1] = 00000000
5437
# In  --> sending byte[2] = 00000000
5438
# In  --> sending byte[3] = 00000000
5439
# In  --> sending byte[4] = 00010011
5440
# In  --> raw crc is 8297 at time             3953418
5441
# In  --> sent crc is be16 at time             3953418
5442
# In  --> In task wait for response at time 3959382000
5443
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3959666000
5444
# In  --> Decoder enabled at time 3960391000 in host
5445
# In  --> StopTime = x, SE0StartTime = x
5446
# In  --> receive data = d2
5447
# In  --> StopTime = x, SE0StartTime = x
5448
# In  --> EOP asserted for 2 bit time at time 3961178000
5449
# In  --> bits received are 7
5450
# In  --> ACK received at time 3961178000.
5451
# Input Address:01, EndPt:0
5452
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
5453
# In  CntrlTransType = 11, WRITE = 11
5454
# In  --> In task wait for response at time 3964422000
5455
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3964790000
5456
# In  --> Decoder enabled at time 3965517000 in host
5457
# In  --> receive data = 4b
5458
# In  --> receive data = 00
5459
# In  --> StopTime = x, SE0StartTime = x
5460
# In  --> receive data = 00
5461
# In  --> StopTime = x, SE0StartTime = x
5462
# In  --> EOP asserted for 2 bit time at time 3967646000
5463
#
5464
# ... Reading the UART Status: 00000013 ...
5465
# CntrlTransType = 10
5466
# In  --> In task wait for response at time 3981474000
5467
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3981758000
5468
# In  --> Decoder enabled at time 3982484000 in host
5469
# In  --> StopTime = x, SE0StartTime = x
5470
# In  --> receive data = d2
5471
# In  --> StopTime = x, SE0StartTime = x
5472
# In  --> EOP asserted for 2 bit time at time 3983270000
5473
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5474
# CntrlTransType = 10
5475
# In  --> In task wait for response at time 3986430000
5476
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3986798000
5477
# In  --> Decoder enabled at time 3987525000 in host
5478
# In  --> receive data = 4b
5479
# In  --> receive data = 00
5480
# In  --> receive data = 00
5481
# In  --> receive data = 00
5482
# In  --> receive data = 00
5483
# In  --> receive data = ff
5484
# In  --> StopTime = x, SE0StartTime = x
5485
# In  --> receive data = db
5486
# In  --> StopTime = x, SE0StartTime = x
5487
# In  --> EOP asserted for 2 bit time at time 3992405000
5488
# In  --> Data toggle recevied is 1001011 at time 3992489000
5489
# In  --> received byte[1] = 00000000
5490
# In  --> received byte[2] = 00000000
5491
# In  --> received byte[3] = 00000000
5492
# In  --> received byte[4] = 00000000
5493
# In  --> calculated crc is 24 at time 3992489000.
5494
# In  --> received raw crc is 24 at time 3992489000.
5495
# In  --> received crc is ffdb at time 3992489000.
5496
# In  --> tmpCrc ffdb, at time 3992489000
5497
# In  --> sending ACK at time 3994410000
5498
# RecvBuffer[0]  = 00000000  : 0
5499
# RecvBuffer[1]  = 00000000  : 0
5500
# RecvBuffer[2]  = 00000000  : 0
5501
# RecvBuffer[3]  = 00000000  : 0
5502
# In  --> In task wait for response at time 4000962000
5503
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4001267000
5504
# In  --> Decoder enabled at time 4001967000 in host
5505
# In  --> StopTime = x, SE0StartTime = x
5506
# In  --> receive data = d2
5507
# In  --> StopTime = x, SE0StartTime = x
5508
# In  --> EOP asserted for 2 bit time at time 4002779000
5509
# CntrlTransType = 10
5510
# In  --> In task wait for response at time 4014654000
5511
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4014959000
5512
# In  --> Decoder enabled at time 4015659000 in host
5513
# In  --> StopTime = x, SE0StartTime = x
5514
# In  --> receive data = d2
5515
# In  --> StopTime = x, SE0StartTime = x
5516
# In  --> EOP asserted for 2 bit time at time 4016471000
5517
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5518
# CntrlTransType = 10
5519
# In  --> In task wait for response at time 4019610000
5520
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4019999000
5521
# In  --> Decoder enabled at time 4020702000 in host
5522
# In  --> receive data = 4b
5523
# In  --> receive data = 00
5524
# In  --> receive data = 00
5525
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  13
5526
# ... Read Data from UART done cnt :        38...
5527
# In  --> receive data = 00
5528
# In  --> receive data = 0d
5529
# In  --> receive data = 3e
5530
# In  --> StopTime = x, SE0StartTime = x
5531
# In  --> receive data = 1e
5532
# In  --> StopTime = x, SE0StartTime = x
5533
# In  --> EOP asserted for 2 bit time at time 4025501000
5534
# In  --> Data toggle recevied is 1001011 at time 4025585000
5535
# In  --> received byte[1] = 00000000
5536
# In  --> received byte[2] = 00000000
5537
# In  --> received byte[3] = 00000000
5538
# In  --> received byte[4] = 00001101
5539
# In  --> calculated crc is 8387 at time 4025585000.
5540
# In  --> received raw crc is 8387 at time 4025585000.
5541
# In  --> received crc is 3e1e at time 4025585000.
5542
# In  --> tmpCrc 3e1e, at time 4025585000
5543
# In  --> sending ACK at time 4027506000
5544
# RecvBuffer[0]  = 00000000  : 0
5545
# RecvBuffer[1]  = 00000000  : 0
5546
# RecvBuffer[2]  = 00000000  : 0
5547
# RecvBuffer[3]  = 00001101  : 13
5548
# In  --> In task wait for response at time 4034058000
5549
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4034363000
5550
# In  --> Decoder enabled at time 4035061000 in host
5551
# In  --> StopTime = x, SE0StartTime = x
5552
# In  --> receive data = d2
5553
# In  --> StopTime = x, SE0StartTime = x
5554
# In  --> EOP asserted for 2 bit time at time 4035854000
5555
# CntrlTransType = 11
5556
# In  --> In task wait for response at time 4047750000
5557
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4048034000
5558
# In  --> Decoder enabled at time 4048752000 in host
5559
# In  --> StopTime = x, SE0StartTime = x
5560
# In  --> receive data = d2
5561
# In  --> StopTime = x, SE0StartTime = x
5562
# In  --> EOP asserted for 2 bit time at time 4049546000
5563
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5564
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
5565
# In  --> DataToggle is 1
5566
# In  --> DataToggle is 4b at time 4052790000.
5567
# In  --> sending byte[1] = 00000000
5568
# In  --> sending byte[2] = 00000000
5569
# In  --> sending byte[3] = 00000000
5570
# In  --> sending byte[4] = 00001101
5571
# In  --> raw crc is 8387 at time             4052790
5572
# In  --> sent crc is 3e1e at time             4052790
5573
# In  --> In task wait for response at time 4058754000
5574
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4059038000
5575
# In  --> Decoder enabled at time 4059759000 in host
5576
# In  --> StopTime = x, SE0StartTime = x
5577
# In  --> receive data = d2
5578
# In  --> StopTime = x, SE0StartTime = x
5579
# In  --> EOP asserted for 2 bit time at time 4060550000
5580
# In  --> bits received are 7
5581
# In  --> ACK received at time 4060550000.
5582
# Input Address:01, EndPt:0
5583
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
5584
# In  CntrlTransType = 11, WRITE = 11
5585
# In  --> In task wait for response at time 4063794000
5586
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4064162000
5587
# In  --> Decoder enabled at time 4064883000 in host
5588
# In  --> receive data = 4b
5589
# In  --> receive data = 00
5590
# In  --> StopTime = x, SE0StartTime = x
5591
# In  --> receive data = 00
5592
# In  --> StopTime = x, SE0StartTime = x
5593
# In  --> EOP asserted for 2 bit time at time 4067018000
5594
#
5595
# ... Reading the UART Status: 0000000f ...
5596
# CntrlTransType = 10
5597
# In  --> In task wait for response at time 4080846000
5598
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4081130000
5599
# In  --> Decoder enabled at time 4081846000 in host
5600
# In  --> StopTime = x, SE0StartTime = x
5601
# In  --> receive data = d2
5602
# In  --> StopTime = x, SE0StartTime = x
5603
# In  --> EOP asserted for 2 bit time at time 4082642000
5604
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5605
# CntrlTransType = 10
5606
# In  --> In task wait for response at time 4085802000
5607
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4086170000
5608
# In  --> Decoder enabled at time 4086890000 in host
5609
# In  --> receive data = 4b
5610
# In  --> receive data = 00
5611
# In  --> receive data = 00
5612
# In  --> receive data = 00
5613
# In  --> receive data = 00
5614
# In  --> receive data = ff
5615
# In  --> StopTime = x, SE0StartTime = x
5616
# In  --> receive data = db
5617
# In  --> StopTime = x, SE0StartTime = x
5618
# In  --> EOP asserted for 2 bit time at time 4091777000
5619
# In  --> Data toggle recevied is 1001011 at time 4091861000
5620
# In  --> received byte[1] = 00000000
5621
# In  --> received byte[2] = 00000000
5622
# In  --> received byte[3] = 00000000
5623
# In  --> received byte[4] = 00000000
5624
# In  --> calculated crc is 24 at time 4091861000.
5625
# In  --> received raw crc is 24 at time 4091861000.
5626
# In  --> received crc is ffdb at time 4091861000.
5627
# In  --> tmpCrc ffdb, at time 4091861000
5628
# In  --> sending ACK at time 4093782000
5629
# RecvBuffer[0]  = 00000000  : 0
5630
# RecvBuffer[1]  = 00000000  : 0
5631
# RecvBuffer[2]  = 00000000  : 0
5632
# RecvBuffer[3]  = 00000000  : 0
5633
# In  --> In task wait for response at time 4100334000
5634
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4100639000
5635
# In  --> Decoder enabled at time 4101353000 in host
5636
# In  --> StopTime = x, SE0StartTime = x
5637
# In  --> receive data = d2
5638
# In  --> StopTime = x, SE0StartTime = x
5639
# In  --> EOP asserted for 2 bit time at time 4102151000
5640
# CntrlTransType = 10
5641
# In  --> In task wait for response at time 4114026000
5642
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4114331000
5643
# In  --> Decoder enabled at time 4115044000 in host
5644
# In  --> StopTime = x, SE0StartTime = x
5645
# In  --> receive data = d2
5646
# In  --> StopTime = x, SE0StartTime = x
5647
# In  --> EOP asserted for 2 bit time at time 4115843000
5648
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5649
# CntrlTransType = 10
5650
# In  --> In task wait for response at time 4118982000
5651
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4119371000
5652
# In  --> Decoder enabled at time 4120067000 in host
5653
# In  --> receive data = 4b
5654
# In  --> receive data = 00
5655
# In  --> receive data = 00
5656
# In  --> receive data = 00
5657
# In  --> receive data = 53
5658
# In  --> receive data = bf
5659
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  0d
5660
# ... Read Data from UART done cnt :        39...
5661
# In  --> StopTime = x, SE0StartTime = x
5662
# In  --> receive data = e6
5663
# In  --> StopTime = x, SE0StartTime = x
5664
# In  --> EOP asserted for 2 bit time at time 4124957000
5665
# In  --> Data toggle recevied is 1001011 at time 4125041000
5666
# In  --> received byte[1] = 00000000
5667
# In  --> received byte[2] = 00000000
5668
# In  --> received byte[3] = 00000000
5669
# In  --> received byte[4] = 01010011
5670
# In  --> calculated crc is 298 at time 4125041000.
5671
# In  --> received raw crc is 298 at time 4125041000.
5672
# In  --> received crc is bfe6 at time 4125041000.
5673
# In  --> tmpCrc bfe6, at time 4125041000
5674
# In  --> sending ACK at time 4126962000
5675
# RecvBuffer[0]  = 00000000  : 0
5676
# RecvBuffer[1]  = 00000000  : 0
5677
# RecvBuffer[2]  = 00000000  : 0
5678
# RecvBuffer[3]  = 01010011  : 83
5679
# In  --> In task wait for response at time 4133514000
5680
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4133819000
5681
# In  --> Decoder enabled at time 4134530000 in host
5682
# In  --> StopTime = x, SE0StartTime = x
5683
# In  --> receive data = d2
5684
# In  --> StopTime = x, SE0StartTime = x
5685
# In  --> EOP asserted for 2 bit time at time 4135331000
5686
# CntrlTransType = 11
5687
# In  --> In task wait for response at time 4147206000
5688
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4147511000
5689
# In  --> Decoder enabled at time 4148222000 in host
5690
# In  --> StopTime = x, SE0StartTime = x
5691
# In  --> receive data = d2
5692
# In  --> StopTime = x, SE0StartTime = x
5693
# In  --> EOP asserted for 2 bit time at time 4149023000
5694
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5695
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
5696
# In  --> DataToggle is 1
5697
# In  --> DataToggle is 4b at time 4152246000.
5698
# In  --> sending byte[1] = 00000000
5699
# In  --> sending byte[2] = 00000000
5700
# In  --> sending byte[3] = 00000000
5701
# In  --> sending byte[4] = 01010011
5702
# In  --> raw crc is 298 at time             4152246
5703
# In  --> sent crc is bfe6 at time             4152246
5704
# In  --> In task wait for response at time 4158294000
5705
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4158599000
5706
# In  --> Decoder enabled at time 4159309000 in host
5707
# In  --> StopTime = x, SE0StartTime = x
5708
# In  --> receive data = d2
5709
# In  --> StopTime = x, SE0StartTime = x
5710
# In  --> EOP asserted for 2 bit time at time 4160111000
5711
# In  --> bits received are 7
5712
# In  --> ACK received at time 4160111000.
5713
# Input Address:01, EndPt:0
5714
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
5715
# In  CntrlTransType = 11, WRITE = 11
5716
# In  --> In task wait for response at time 4163334000
5717
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4163639000
5718
# In  --> Decoder enabled at time 4164352000 in host
5719
# In  --> receive data = 4b
5720
# In  --> receive data = 00
5721
# In  --> StopTime = x, SE0StartTime = x
5722
# In  --> receive data = 00
5723
# In  --> StopTime = x, SE0StartTime = x
5724
# In  --> EOP asserted for 2 bit time at time 4166495000
5725
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  53
5726
# ... Read Data from UART done cnt :        40...
5727
# -------------------- Reporting Configuration --------------------
5728
#       Data bit number setting is : 8
5729
#       Stop bit number setting is : 1
5730
#       Divisor of Uart clock   is : 15
5731
#       Parity is enable
5732
#       Even parity setting
5733
#       FIFO mode is disable
5734
# -----------------------------------------------------------------
5735
# -------------------- Reporting Status --------------------
5736
#
5737
#       Number of character received is :    40
5738
#       Number of character sent     is :    40
5739
#       Number of parity error rxd   is :     0
5740
#       Number of stop1  error rxd   is :     0
5741
#       Number of stop2  error rxd   is :     0
5742
#       Number of timeout error      is :     0
5743
#       Number of error              is :     0
5744
# -----------------------------------------------------------------
5745
# ** Note: $finish    : ../tb/tb.v(246)
5746
#    Time: 4225639240 ps  Iteration: 0  Instance: /tb

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.