OpenCores
URL https://opencores.org/ocsvn/usb2uart/usb2uart/trunk

Subversion Repositories usb2uart

[/] [usb2uart/] [trunk/] [verify/] [tb/] [test_control.v] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 dinesha
 
2
module test_control();
3
 
4
  event        error_detected;
5
  integer      error_count;
6
  reg          verbose_msg;
7
 
8
  // initialize debug variables 
9
  initial
10
    begin
11
      error_count = 0;
12
      verbose_msg = 0;
13
    end
14
 
15
 
16
  // count the number error 
17
  always @(error_detected)
18
    begin
19
      error_count = error_count + 1;
20
    end
21
 
22
 
23
  // enabling/disabling  message
24
  task msg_enable;
25
    input [20*8:1] msg_src;
26
    input msg_enable;
27
    begin
28
      verbose_msg = msg_enable;
29
      if (msg_enable)
30
        $display("         At time %t ** %s: enabling messages",$time, msg_src);
31
      else
32
        $display("         At time %t ** %s: disabling messages",$time, msg_src);
33
    end
34
  endtask // msg
35
 
36
  // generating message
37
  task msg;
38
    input [20*8:1] msg_src;
39
    input [40*8:1] msg_text;
40
    begin
41
      if (verbose_msg)
42
        $display("         At time %t ** %s: Msg: %s",$time, msg_src, msg_text);
43
    end
44
  endtask // msg
45
 
46
  // generating long message
47
  task msgl;
48
    input [40*8:1] msg_src;
49
    input [80*8:1] msg_text;
50
    begin
51
      if (verbose_msg)
52
        $display("         At time %t ** %s: Msg: %s",$time, msg_src, msg_text);
53
    end
54
  endtask // msg
55
 
56
  // generating the error message
57
  task err;
58
    input [20*8:1] err_src;
59
    input [40*8:1] err_text;
60
    begin
61
      -> error_detected;
62
      $display("Time %0d, %s Error: %s",$time, err_src, err_text);
63
    end
64
  endtask // err
65
 
66
 
67
task finish_test;
68
begin
69
 
70
   $display("****************************************");
71
   if ( error_count == 0 )
72
      $display("* TEST: PASSED");
73
   else
74
      $display("* TEST: FAILED\n*\tError(s) = %d", error_count);
75
 
76
   $display("****************************************");
77
end
78
endtask
79
 
80
 
81
endmodule // debug_proc
82
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.