OpenCores
URL https://opencores.org/ocsvn/usb_dongle_fpga/usb_dongle_fpga/trunk

Subversion Repositories usb_dongle_fpga

[/] [usb_dongle_fpga/] [tags/] [version_1_5/] [altera_quartus_proj/] [dongle_syn.qsf] - Blame information for rev 53

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 nuubik
# Copyright (C) 1991-2006 Altera Corporation
2
# Your use of Altera Corporation's design tools, logic functions
3
# and other software and tools, and its AMPP partner logic
4
# functions, and any output files any of the foregoing
5
# (including device programming or simulation files), and any
6
# associated documentation or information are expressly subject
7
# to the terms and conditions of the Altera Program License
8
# Subscription Agreement, Altera MegaCore Function License
9
# Agreement, or other applicable license agreement, including,
10
# without limitation, that your use is for the sole purpose of
11
# programming logic devices manufactured by Altera and sold by
12
# Altera or its authorized distributors.  Please refer to the
13
# applicable agreement for further details.
14
 
15
 
16
# The default values for assignments are stored in the file
17
#               dongle_syn_assignment_defaults.qdf
18
# If this file doesn't exist, and for assignments not listed, see file
19
#               assignment_defaults.qdf
20
 
21
# Altera recommends that you do not modify this file. This
22
# file is updated automatically by the Quartus II software
23
# and any changes you make may be lost or overwritten.
24
 
25
 
26
set_global_assignment -name FAMILY Cyclone
27
set_global_assignment -name DEVICE EP1C6T144C8
28
set_global_assignment -name TOP_LEVEL_ENTITY design_top
29
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0
30
set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:34:29  AUGUST 31, 2006"
31 3 nuubik
set_global_assignment -name LAST_QUARTUS_VERSION "6.0 SP1"
32 2 nuubik
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (VHDL)"
33
set_global_assignment -name EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION ON -section_id eda_simulation
34
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
35
set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP
36
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144
37
set_location_assignment PIN_71 -to fl_addr[0]
38
set_location_assignment PIN_38 -to fl_addr[1]
39
set_location_assignment PIN_39 -to fl_addr[2]
40
set_location_assignment PIN_40 -to fl_addr[3]
41
set_location_assignment PIN_41 -to fl_addr[4]
42
set_location_assignment PIN_42 -to fl_addr[5]
43
set_location_assignment PIN_47 -to fl_addr[6]
44
set_location_assignment PIN_48 -to fl_addr[7]
45
set_location_assignment PIN_49 -to fl_addr[8]
46
set_location_assignment PIN_50 -to fl_addr[9]
47
set_location_assignment PIN_52 -to fl_addr[10]
48
set_location_assignment PIN_53 -to fl_addr[11]
49
set_location_assignment PIN_56 -to fl_addr[12]
50
set_location_assignment PIN_57 -to fl_addr[13]
51
set_location_assignment PIN_58 -to fl_addr[14]
52
set_location_assignment PIN_59 -to fl_addr[15]
53
set_location_assignment PIN_60 -to fl_addr[16]
54
set_location_assignment PIN_61 -to fl_addr[17]
55
set_location_assignment PIN_62 -to fl_addr[18]
56
set_location_assignment PIN_67 -to fl_addr[19]
57
set_location_assignment PIN_68 -to fl_addr[20]
58
set_location_assignment PIN_69 -to fl_addr[21]
59
set_location_assignment PIN_70 -to fl_addr[22]
60
set_location_assignment PIN_37 -to fl_addr[23]
61
set_location_assignment PIN_51 -to fl_ce_n
62
set_location_assignment PIN_143 -to fl_data[0]
63
set_location_assignment PIN_141 -to fl_data[1]
64
set_location_assignment PIN_139 -to fl_data[2]
65
set_location_assignment PIN_133 -to fl_data[3]
66
set_location_assignment PIN_130 -to fl_data[4]
67
set_location_assignment PIN_125 -to fl_data[5]
68
set_location_assignment PIN_124 -to fl_data[6]
69
set_location_assignment PIN_122 -to fl_data[7]
70
set_location_assignment PIN_142 -to fl_data[8]
71
set_location_assignment PIN_140 -to fl_data[9]
72
set_location_assignment PIN_134 -to fl_data[10]
73
set_location_assignment PIN_132 -to fl_data[11]
74
set_location_assignment PIN_129 -to fl_data[12]
75
set_location_assignment PIN_128 -to fl_data[13]
76
set_location_assignment PIN_123 -to fl_data[14]
77
set_location_assignment PIN_121 -to fl_data[15]
78
set_location_assignment PIN_119 -to fl_oe_n
79 28 nuubik
set_location_assignment PIN_120 -to fl_sts
80 2 nuubik
set_location_assignment PIN_114 -to fl_we_n
81
set_location_assignment PIN_85 -to hdr[0]
82
set_location_assignment PIN_84 -to hdr[1]
83
set_location_assignment PIN_83 -to hdr[2]
84
set_location_assignment PIN_82 -to hdr[3]
85
set_location_assignment PIN_79 -to hdr[4]
86
set_location_assignment PIN_78 -to hdr[5]
87
set_location_assignment PIN_77 -to hdr[6]
88
set_location_assignment PIN_76 -to hdr[7]
89
set_location_assignment PIN_75 -to hdr[8]
90
set_location_assignment PIN_74 -to hdr[9]
91
set_location_assignment PIN_97 -to lad[0]
92
set_location_assignment PIN_99 -to lad[1]
93
set_location_assignment PIN_98 -to lad[2]
94
set_location_assignment PIN_103 -to lad[3]
95
set_location_assignment PIN_10 -to lclk
96
set_location_assignment PIN_105 -to led_green
97
set_location_assignment PIN_104 -to led_red
98
set_location_assignment PIN_100 -to lframe_n
99
set_location_assignment PIN_96 -to lreset_n
100
set_location_assignment PIN_144 -to resetn
101
set_location_assignment PIN_11 -to mode[0]
102
set_location_assignment PIN_26 -to mode[1]
103
set_location_assignment PIN_113 -to scn_seg[0]
104
set_location_assignment PIN_107 -to scn_seg[1]
105
set_location_assignment PIN_106 -to scn_seg[2]
106
set_location_assignment PIN_108 -to scn_seg[3]
107
set_location_assignment PIN_2 -to seg_out[0]
108
set_location_assignment PIN_109 -to seg_out[1]
109
set_location_assignment PIN_110 -to seg_out[2]
110
set_location_assignment PIN_112 -to seg_out[3]
111
set_location_assignment PIN_111 -to seg_out[4]
112
set_location_assignment PIN_3 -to seg_out[5]
113
set_location_assignment PIN_1 -to seg_out[6]
114
set_location_assignment PIN_93 -to sys_clk
115
set_location_assignment PIN_36 -to usb_bd[0]
116
set_location_assignment PIN_35 -to usb_bd[1]
117
set_location_assignment PIN_34 -to usb_bd[2]
118
set_location_assignment PIN_33 -to usb_bd[3]
119
set_location_assignment PIN_32 -to usb_bd[4]
120
set_location_assignment PIN_31 -to usb_bd[5]
121
set_location_assignment PIN_28 -to usb_bd[6]
122
set_location_assignment PIN_27 -to usb_bd[7]
123
set_location_assignment PIN_7 -to usb_rd_n
124
set_location_assignment PIN_4 -to usb_rxf_n
125
set_location_assignment PIN_5 -to usb_txe_n
126
set_location_assignment PIN_6 -to usb_wr
127
set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE EPCS1
128
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
129 3 nuubik
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD LVCMOS
130 2 nuubik
set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER ON
131
set_global_assignment -name FMAX_REQUIREMENT "33 MHz" -section_id for33
132
set_global_assignment -name FMAX_REQUIREMENT "25 MHz" -section_id for25sys
133
set_instance_assignment -name IO_STANDARD LVCMOS -to lad[0]
134
set_instance_assignment -name IO_STANDARD LVCMOS -to lad[1]
135
set_instance_assignment -name IO_STANDARD LVCMOS -to lad[2]
136
set_instance_assignment -name IO_STANDARD LVCMOS -to lad[3]
137 3 nuubik
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to lad
138
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to fl_data
139
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to hdr
140
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to usb_bd
141
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to fl_addr
142
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to fl_ce_n
143
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to fl_oe_n
144
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to fl_rp_n
145
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to fl_we_n
146
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to led_green
147
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to led_red
148
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to scn_seg
149
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to seg_out
150
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to usb_rd_n
151 16 nuubik
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to usb_wr
152 28 nuubik
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to hdr[3]
153
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to hdr[5]
154
set_global_assignment -name VHDL_FILE ../src/postcode_ser/fifo.vhd
155
set_global_assignment -name VHDL_FILE ../src/postcode_ser/pc_serializer.vhd
156
set_global_assignment -name VHDL_FILE ../src/usb/usb2mem.vhd
157
set_global_assignment -name VHDL_FILE ../src/lpc_proto/lpc_byte.vhd
158
set_global_assignment -name VHDL_FILE ../src/flash/flsh_if.vhd
159
set_global_assignment -name VHDL_FILE ../src/led_sys/led_coder.vhd
160
set_global_assignment -name VHDL_FILE ../src/led_sys/byte_scan_mux.vhd
161
set_global_assignment -name VHDL_FILE ../src/led_sys/led_sys.vhd
162
set_global_assignment -name VHDL_FILE ../src/design_top/design_top_thincandbg.vhd
163
set_global_assignment -name FMAX_REQUIREMENT "12.5 MHz" -section_id "design_top|pc_serializer:DBG|half_clk"
164
set_instance_assignment -name CLOCK_SETTINGS for33 -to for33
165
set_instance_assignment -name CLOCK_SETTINGS for25sys -to for25sys
166
set_instance_assignment -name CLOCK_SETTINGS "design_top|pc_serializer:DBG|half_clk" -to "pc_serializer:DBG|half_clk"
167
set_location_assignment PIN_131 -to fl_sts_en

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.