OpenCores
URL https://opencores.org/ocsvn/usb_fpga_1_15/usb_fpga_1_15/trunk

Subversion Repositories usb_fpga_1_15

[/] [usb_fpga_1_15/] [trunk/] [constraints/] [usb-fpga-2.13.xdc] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 ZTEX
# !!! Constraint files are application specific !!!
2
# !!!          This is a template only          !!!
3
 
4
# on-board signals
5
 
6
# CLKOUT/FXCLK
7
create_clock -name fxclk_in -period 20.833 [get_ports fxclk_in]
8
set_property PACKAGE_PIN P15 [get_ports fxclk_in]
9
set_property IOSTANDARD LVCMOS33 [get_ports fxclk_in]
10
 
11
# IFCLK
12
create_clock -name ifclk_in -period 20.833 [get_ports ifclk_in]
13
set_property PACKAGE_PIN P17 [get_ports ifclk_in]
14
set_property IOSTANDARD LVCMOS33 [get_ports ifclk_in]
15
 
16
 
17 4 ZTEX
set_property PACKAGE_PIN M16 [get_ports {PB[0]}]                ;# PB0/FD0
18 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PB[0]}]
19
 
20 4 ZTEX
set_property PACKAGE_PIN L16 [get_ports {PB[1]}]                ;# PB1/FD1
21 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PB[1]}]
22
 
23 4 ZTEX
set_property PACKAGE_PIN L14 [get_ports {PB[2]}]                ;# PB2/FD2
24 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PB[2]}]
25
 
26 4 ZTEX
set_property PACKAGE_PIN M14 [get_ports {PB[3]}]                ;# PB3/FD3
27 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PB[3]}]
28
 
29 4 ZTEX
set_property PACKAGE_PIN L18 [get_ports {PB[4]}]                ;# PB4/FD4
30 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PB[4]}]
31
 
32 4 ZTEX
set_property PACKAGE_PIN M18 [get_ports {PB[5]}]                ;# PB5/FD5
33 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PB[5]}]
34
 
35 4 ZTEX
set_property PACKAGE_PIN R12 [get_ports {PB[6]}]                ;# PB6/FD6
36 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PB[6]}]
37
 
38 4 ZTEX
set_property PACKAGE_PIN R13 [get_ports {PB[7]}]                ;# PB7/FD7
39 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PB[7]}]
40
 
41
 
42 4 ZTEX
set_property PACKAGE_PIN T9 [get_ports {PD[0]}]                 ;# PD0/FD8
43 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PD[0]}]
44
 
45 4 ZTEX
set_property PACKAGE_PIN V10 [get_ports {PD[1]}]                ;# PD1/FD9
46 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PD[1]}]
47
 
48 4 ZTEX
set_property PACKAGE_PIN U11 [get_ports {PD[2]}]                ;# PD2/FD10
49 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PD[2]}]
50
 
51 4 ZTEX
set_property PACKAGE_PIN V11 [get_ports {PD[3]}]                ;# PD3/FD11
52 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PD[3]}]
53
 
54 4 ZTEX
set_property PACKAGE_PIN V12 [get_ports {PD[4]}]                ;# PD4/FD12
55 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PD[4]}]
56
 
57 4 ZTEX
set_property PACKAGE_PIN U13 [get_ports {PD[5]}]                ;# PD5/FD13
58 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PD[5]}]
59
 
60 4 ZTEX
set_property PACKAGE_PIN U14 [get_ports {PD[6]}]                ;# PD6/FD14
61 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PD[6]}]
62
 
63 4 ZTEX
set_property PACKAGE_PIN V14 [get_ports {PD[7]}]                ;# PD7/FD15
64 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PD[7]}]
65
 
66
 
67 4 ZTEX
set_property PACKAGE_PIN R15 [get_ports {PA[0]}]                ;# PA0/INT0#
68 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PA[0]}]
69
 
70 4 ZTEX
set_property PACKAGE_PIN T15 [get_ports {PA[1]}]                ;# PA1/INT1#
71 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PA[1]}]
72
 
73 4 ZTEX
set_property PACKAGE_PIN T14 [get_ports {PA[2]}]                ;# PA2/SLOE
74 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PA[2]}]
75
 
76 4 ZTEX
set_property PACKAGE_PIN T13 [get_ports {PA[3]}]                ;# PA3/WU2
77 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PA[3]}]
78
 
79 4 ZTEX
set_property PACKAGE_PIN R11 [get_ports {PA[4]}]                ;# PA4/FIFOADR0
80 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PA[4]}]
81
 
82 4 ZTEX
set_property PACKAGE_PIN T11 [get_ports {PA[5]}]                ;# PA5/FIFOADR1
83 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PA[5]}]
84
 
85 4 ZTEX
set_property PACKAGE_PIN R10 [get_ports {PA[6]}]                ;# PA6/PKTEND
86 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PA[6]}]
87
 
88 4 ZTEX
set_property PACKAGE_PIN T10 [get_ports {PA[7]}]                ;# PA7/FLAGD/SLCS#
89 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PA[7]}]
90
 
91
 
92 4 ZTEX
set_property PACKAGE_PIN R17 [get_ports {PC[0]}]                ;# PC0/GPIFADR0
93 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PC[0]}]
94
 
95 4 ZTEX
set_property PACKAGE_PIN R18 [get_ports {PC[1]}]                ;# PC1/GPIFADR1
96 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PC[1]}]
97
 
98 4 ZTEX
set_property PACKAGE_PIN P18 [get_ports {PC[2]}]                ;# PC2/GPIFADR2
99 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PC[2]}]
100
 
101 4 ZTEX
set_property PACKAGE_PIN P14 [get_ports {PC[3]}]                ;# PC3/GPIFADR3
102 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PC[3]}]
103
 
104 4 ZTEX
set_property PACKAGE_PIN K18 [get_ports {FLASH_DO}]             ;# PC4/GPIFADR4
105 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {FLASH_DO}]
106
 
107 4 ZTEX
set_property PACKAGE_PIN L13 [get_ports {FLASH_CS}]             ;# PC5/GPIFADR5
108 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {FLASH_CS}]
109
 
110 4 ZTEX
set_property PACKAGE_PIN E9 [get_ports {FLASH_CLK}]             ;# PC6/GPIFADR6
111 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {FLASH_CLK}]
112
 
113 4 ZTEX
set_property PACKAGE_PIN K17 [get_ports {FLASH_DI}]             ;# PC7/GPIFADR7
114 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {FLASH_DI}]
115
 
116
 
117 4 ZTEX
set_property PACKAGE_PIN P10 [get_ports {PE[0]}]                ;# PE0/T0OUT
118 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PE[0]}]
119
 
120 4 ZTEX
set_property PACKAGE_PIN P7 [get_ports {PE[1]}]                 ;# PE1/T1OUT
121 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PE[1]}]
122
 
123 4 ZTEX
set_property PACKAGE_PIN V15 [get_ports {PE[2]}]                ;# PE2/T2OUT
124 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PE[2]}]
125
 
126 4 ZTEX
set_property PACKAGE_PIN R16 [get_ports {PE[5]}]                ;# PE5/INT6
127 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PE[5]}]
128
 
129 4 ZTEX
set_property PACKAGE_PIN T16 [get_ports {PE[6]}]                ;# PE6/T2EX
130 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {PE[6]}]
131
 
132
 
133 4 ZTEX
set_property PACKAGE_PIN V16 [get_ports {SLRD}]                 ;# RDY0/SLRD
134 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {SLRD}]
135
 
136 4 ZTEX
set_property PACKAGE_PIN U16 [get_ports {SLWR}]                 ;# RDY1/SLWR
137 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {SLWR}]
138
 
139 4 ZTEX
set_property PACKAGE_PIN V17 [get_ports {RDY2}]                 ;# RDY2
140 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {RDY2}]
141
 
142 4 ZTEX
set_property PACKAGE_PIN U17 [get_ports {RDY3}]                 ;# RDY3
143 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {RDY3}]
144
 
145 4 ZTEX
set_property PACKAGE_PIN U18 [get_ports {RDY4}]                 ;# RDY4
146 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {RDY4}]
147
 
148 4 ZTEX
set_property PACKAGE_PIN T18 [get_ports {RDY5}]                 ;# RDY5
149 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {RDY5}]
150
 
151
 
152 4 ZTEX
set_property PACKAGE_PIN N16 [get_ports {FLAGA}]                ;# CTL0/FLAGA
153 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {FLAGA}]
154
 
155 4 ZTEX
set_property PACKAGE_PIN N15 [get_ports {FLAGB}]                ;# CTL1/FLAGB
156 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {FLAGB}]
157
 
158 4 ZTEX
set_property PACKAGE_PIN N14 [get_ports {FLAGC}]                ;# CTL2/FLAGC
159 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {FLAGC}]
160
 
161 4 ZTEX
set_property PACKAGE_PIN N17 [get_ports {CTL3}]                 ;# CTL3
162 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {CTL3}]
163
 
164 4 ZTEX
set_property PACKAGE_PIN M13 [get_ports {CTL4}]                 ;# CTL4
165 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {CTL4}]
166
 
167
 
168 4 ZTEX
set_property PACKAGE_PIN D10 [get_ports {INT4}]                 ;# INT4
169 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {INT4}]
170
 
171 4 ZTEX
set_property PACKAGE_PIN U12 [get_ports {INT5_N}]               ;# INT5#
172 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {INT5_N}]
173
 
174 4 ZTEX
set_property PACKAGE_PIN M17 [get_ports {T0}]           ;# T0
175 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {T0}]
176
 
177
 
178 4 ZTEX
set_property PACKAGE_PIN B8 [get_ports {SCL}]           ;# SCL
179 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {SCL}]
180
 
181 4 ZTEX
set_property PACKAGE_PIN A10 [get_ports {SDA}]                  ;# SDA
182 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {SDA}]
183
 
184
 
185 4 ZTEX
set_property PACKAGE_PIN A8 [get_ports {RxD0}]                  ;# RxD0
186 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {RxD0}]
187
 
188 4 ZTEX
set_property PACKAGE_PIN A9 [get_ports {TxD0}]                  ;# TxD0
189 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {TxD0}]
190
 
191
 
192
# external I/O
193
 
194 4 ZTEX
set_property PACKAGE_PIN K16 [get_ports {IO_A[0]}]              ;# A3 / E22~IO_L22P_T3_16
195 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[0]}]
196
 
197 4 ZTEX
set_property PACKAGE_PIN K15 [get_ports {IO_A[1]}]              ;# A4 / C22~IO_L20P_T3_16
198 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[1]}]
199
 
200 4 ZTEX
set_property PACKAGE_PIN J15 [get_ports {IO_A[2]}]              ;# A5 / E21~IO_L23P_T3_16
201 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[2]}]
202
 
203 4 ZTEX
set_property PACKAGE_PIN H15 [get_ports {IO_A[3]}]              ;# A6 / B21~IO_L21P_T3_DQS_16
204 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[3]}]
205
 
206 4 ZTEX
set_property PACKAGE_PIN J14 [get_ports {IO_A[4]}]              ;# A7 / D20~IO_L19P_T3_16
207 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[4]}]
208
 
209 4 ZTEX
set_property PACKAGE_PIN H17 [get_ports {IO_A[5]}]              ;# A8 / B20~IO_L16P_T2_16
210 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[5]}]
211
 
212 4 ZTEX
set_property PACKAGE_PIN G17 [get_ports {IO_A[6]}]              ;# A9 / C19~IO_L13N_T2_MRCC_16
213 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[6]}]
214
 
215 4 ZTEX
set_property PACKAGE_PIN G18 [get_ports {IO_A[7]}]              ;# A10 / C18~IO_L13P_T2_MRCC_16
216 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[7]}]
217
 
218 4 ZTEX
set_property PACKAGE_PIN F18 [get_ports {IO_A[8]}]              ;# A11 / B18~IO_L11N_T1_SRCC_16
219 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[8]}]
220
 
221 4 ZTEX
set_property PACKAGE_PIN E18 [get_ports {IO_A[9]}]              ;# A12 / B17~IO_L11P_T1_SRCC_16
222 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[9]}]
223
 
224 4 ZTEX
set_property PACKAGE_PIN D18 [get_ports {IO_A[10]}]             ;# A13 / B16~IO_L7N_T1_16
225 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[10]}]
226
 
227 4 ZTEX
set_property PACKAGE_PIN G13 [get_ports {IO_A[11]}]             ;# A14 / A16~IO_L9N_T1_DQS_16
228 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[11]}]
229
 
230 4 ZTEX
set_property PACKAGE_PIN F13 [get_ports {IO_A[12]}]             ;# A18 / A14~IO_L10N_T1_16
231 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[12]}]
232
 
233 4 ZTEX
set_property PACKAGE_PIN E16 [get_ports {IO_A[13]}]             ;# A19 / D15~IO_L6N_T0_VREF_16
234 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[13]}]
235
 
236 4 ZTEX
set_property PACKAGE_PIN C17 [get_ports {IO_A[14]}]             ;# A20 / B13~IO_L8N_T1_16
237 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[14]}]
238
 
239 4 ZTEX
set_property PACKAGE_PIN A18 [get_ports {IO_A[15]}]             ;# A21 / N3~IO_L19N_T3_VREF_35
240 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[15]}]
241
 
242 4 ZTEX
set_property PACKAGE_PIN C15 [get_ports {IO_A[16]}]             ;# A22 / H4~IO_L12P_T1_MRCC_35
243 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[16]}]
244
 
245 4 ZTEX
set_property PACKAGE_PIN B17 [get_ports {IO_A[17]}]             ;# A23 / G4~IO_L12N_T1_MRCC_35
246 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[17]}]
247
 
248 4 ZTEX
set_property PACKAGE_PIN C14 [get_ports {IO_A[18]}]             ;# A24 / E3~IO_L6N_T0_VREF_35
249 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[18]}]
250
 
251 4 ZTEX
set_property PACKAGE_PIN D13 [get_ports {IO_A[19]}]             ;# A25 / B2~IO_L2N_T0_AD12N_35
252 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[19]}]
253
 
254 4 ZTEX
set_property PACKAGE_PIN A16 [get_ports {IO_A[20]}]             ;# A26 / D2~IO_L4N_T0_35
255 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[20]}]
256
 
257 4 ZTEX
set_property PACKAGE_PIN B14 [get_ports {IO_A[21]}]             ;# A27 / G2~IO_L8N_T1_AD14N_35
258 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[21]}]
259
 
260 4 ZTEX
set_property PACKAGE_PIN B12 [get_ports {IO_A[22]}]             ;# A28 / A1~IO_L1N_T0_AD4N_35
261 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[22]}]
262
 
263 4 ZTEX
set_property PACKAGE_PIN A14 [get_ports {IO_A[23]}]             ;# A29 / D1~IO_L3N_T0_DQS_AD5N_35
264 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[23]}]
265
 
266 4 ZTEX
set_property PACKAGE_PIN B11 [get_ports {IO_A[24]}]             ;# A30 / G1~IO_L5P_T0_AD13P_35
267 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[24]}]
268
 
269
 
270 4 ZTEX
set_property PACKAGE_PIN J18 [get_ports {IO_B[0]}]              ;# B3 / D22~IO_L22N_T3_16
271 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[0]}]
272
 
273 4 ZTEX
set_property PACKAGE_PIN J17 [get_ports {IO_B[1]}]              ;# B4 / B22~IO_L20N_T3_16
274 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[1]}]
275
 
276 4 ZTEX
set_property PACKAGE_PIN K13 [get_ports {IO_B[2]}]              ;# B5 / D21~IO_L23N_T3_16
277 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[2]}]
278
 
279 4 ZTEX
set_property PACKAGE_PIN J13 [get_ports {IO_B[3]}]              ;# B6 / A21~IO_L21N_T3_DQS_16
280 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[3]}]
281
 
282 4 ZTEX
set_property PACKAGE_PIN H14 [get_ports {IO_B[4]}]              ;# B7 / C20~IO_L19N_T3_VREF_16
283 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[4]}]
284
 
285 4 ZTEX
set_property PACKAGE_PIN G14 [get_ports {IO_B[5]}]              ;# B8 / A20~IO_L16N_T2_16
286 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[5]}]
287
 
288 4 ZTEX
set_property PACKAGE_PIN G16 [get_ports {IO_B[6]}]              ;# B9 / A19~IO_L17N_T2_16
289 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[6]}]
290
 
291 4 ZTEX
set_property PACKAGE_PIN H16 [get_ports {IO_B[7]}]              ;# B10 / A18~IO_L17P_T2_16
292 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[7]}]
293
 
294 4 ZTEX
set_property PACKAGE_PIN F16 [get_ports {IO_B[8]}]              ;# B11 / D17~IO_L12P_T1_MRCC_16
295 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[8]}]
296
 
297 4 ZTEX
set_property PACKAGE_PIN F15 [get_ports {IO_B[9]}]              ;# B12 / C17~IO_L12N_T1_MRCC_16
298 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[9]}]
299
 
300 4 ZTEX
set_property PACKAGE_PIN E17 [get_ports {IO_B[10]}]             ;# B13 / B15~IO_L7P_T1_16
301 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[10]}]
302
 
303 4 ZTEX
set_property PACKAGE_PIN D17 [get_ports {IO_B[11]}]             ;# B14 / A15~IO_L9P_T1_DQS_16
304 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[11]}]
305
 
306 4 ZTEX
set_property PACKAGE_PIN F14 [get_ports {IO_B[12]}]             ;# B18 / A13~IO_L10P_T1_16
307 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[12]}]
308
 
309 4 ZTEX
set_property PACKAGE_PIN E15 [get_ports {IO_B[13]}]             ;# B19 / D14~IO_L6P_T0_16
310 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[13]}]
311
 
312 4 ZTEX
set_property PACKAGE_PIN C16 [get_ports {IO_B[14]}]             ;# B20 / C13~IO_L8P_T1_16
313 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[14]}]
314
 
315 4 ZTEX
set_property PACKAGE_PIN B18 [get_ports {IO_B[15]}]             ;# B21 / H3~IO_L11P_T1_SRCC_35
316 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[15]}]
317
 
318 4 ZTEX
set_property PACKAGE_PIN D15 [get_ports {IO_B[16]}]             ;# B22 / G3~IO_L11N_T1_SRCC_35
319 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[16]}]
320
 
321 4 ZTEX
set_property PACKAGE_PIN B16 [get_ports {IO_B[17]}]             ;# B23 / F4~IO_0_35
322 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[17]}]
323
 
324 4 ZTEX
set_property PACKAGE_PIN D14 [get_ports {IO_B[18]}]             ;# B24 / F3~IO_L6P_T0_35
325 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[18]}]
326
 
327 4 ZTEX
set_property PACKAGE_PIN D12 [get_ports {IO_B[19]}]             ;# B25 / C2~IO_L2P_T0_AD12P_35
328 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[19]}]
329
 
330 4 ZTEX
set_property PACKAGE_PIN A15 [get_ports {IO_B[20]}]             ;# B26 / E2~IO_L4P_T0_35
331 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[20]}]
332
 
333 4 ZTEX
set_property PACKAGE_PIN B13 [get_ports {IO_B[21]}]             ;# B27 / H2~IO_L8P_T1_AD14P_35
334 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[21]}]
335
 
336 4 ZTEX
set_property PACKAGE_PIN C12 [get_ports {IO_B[22]}]             ;# B28 / B1~IO_L1P_T0_AD4P_35
337 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[22]}]
338
 
339 4 ZTEX
set_property PACKAGE_PIN A13 [get_ports {IO_B[23]}]             ;# B29 / E1~IO_L3P_T0_DQS_AD5P_35
340 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[23]}]
341
 
342 4 ZTEX
set_property PACKAGE_PIN A11 [get_ports {IO_B[24]}]             ;# B30 / F1~IO_L5N_T0_AD13N_35
343 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[24]}]
344
 
345
 
346 4 ZTEX
set_property PACKAGE_PIN U9 [get_ports {IO_C[0]}]               ;# C3 / AB17~IO_L2N_T0_13
347 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[0]}]
348
 
349 4 ZTEX
set_property PACKAGE_PIN U8 [get_ports {IO_C[1]}]               ;# C4 / Y16~IO_L1P_T0_13
350 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[1]}]
351
 
352 4 ZTEX
set_property PACKAGE_PIN U7 [get_ports {IO_C[2]}]               ;# C5 / AA15~IO_L4P_T0_13
353 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[2]}]
354
 
355 4 ZTEX
set_property PACKAGE_PIN U6 [get_ports {IO_C[3]}]               ;# C6 / Y13~IO_L5P_T0_13
356 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[3]}]
357
 
358 4 ZTEX
set_property PACKAGE_PIN T8 [get_ports {IO_C[4]}]               ;# C7 / W14~IO_L6P_T0_13
359 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[4]}]
360
 
361 4 ZTEX
set_property PACKAGE_PIN R8 [get_ports {IO_C[5]}]               ;# C8 / AA13~IO_L3P_T0_DQS_13
362 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[5]}]
363
 
364 4 ZTEX
set_property PACKAGE_PIN R7 [get_ports {IO_C[6]}]               ;# C9 / AB12~IO_L7N_T1_13
365 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[6]}]
366
 
367 4 ZTEX
set_property PACKAGE_PIN T6 [get_ports {IO_C[7]}]               ;# C10 / W12~IO_L12N_T1_MRCC_13
368 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[7]}]
369
 
370 4 ZTEX
set_property PACKAGE_PIN R6 [get_ports {IO_C[8]}]               ;# C11 / AA11~IO_L9N_T1_DQS_13
371 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[8]}]
372
 
373 4 ZTEX
set_property PACKAGE_PIN R5 [get_ports {IO_C[9]}]               ;# C12 / AA9~IO_L8P_T1_13
374 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[9]}]
375
 
376 4 ZTEX
set_property PACKAGE_PIN V2 [get_ports {IO_C[10]}]              ;# C13 / W9~IO_L24P_T3_34
377 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[10]}]
378
 
379 4 ZTEX
set_property PACKAGE_PIN U2 [get_ports {IO_C[11]}]              ;# C14 / AA8~IO_L22P_T3_34
380 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[11]}]
381
 
382 4 ZTEX
set_property PACKAGE_PIN K6 [get_ports {IO_C[12]}]              ;# C15 / V7~IO_L19P_T3_34
383 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[12]}]
384
 
385 4 ZTEX
set_property PACKAGE_PIN N6 [get_ports {IO_C[13]}]              ;# C19 / AB6~IO_L20N_T3_34
386 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[13]}]
387
 
388 4 ZTEX
set_property PACKAGE_PIN M6 [get_ports {IO_C[14]}]              ;# C20 / AA5~IO_L10P_T1_34
389 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[14]}]
390
 
391 4 ZTEX
set_property PACKAGE_PIN L6 [get_ports {IO_C[15]}]              ;# C21 / Y4~IO_L11P_T1_SRCC_34
392 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[15]}]
393
 
394 4 ZTEX
set_property PACKAGE_PIN L5 [get_ports {IO_C[16]}]              ;# C22 / V4~IO_L12P_T1_MRCC_34
395 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[16]}]
396
 
397 4 ZTEX
set_property PACKAGE_PIN N4 [get_ports {IO_C[17]}]              ;# C23 / Y3~IO_L9P_T1_DQS_34
398 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[17]}]
399
 
400 4 ZTEX
set_property PACKAGE_PIN M4 [get_ports {IO_C[18]}]              ;# C24 / U3~IO_L6P_T0_34
401 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[18]}]
402
 
403 4 ZTEX
set_property PACKAGE_PIN M3 [get_ports {IO_C[19]}]              ;# C25 / AB3~IO_L8P_T1_34
404 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[19]}]
405
 
406 4 ZTEX
set_property PACKAGE_PIN M2 [get_ports {IO_C[20]}]              ;# C26 / W2~IO_L4P_T0_34
407 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[20]}]
408
 
409 4 ZTEX
set_property PACKAGE_PIN K5 [get_ports {IO_C[21]}]              ;# C27 / U2~IO_L2P_T0_34
410 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[21]}]
411
 
412 4 ZTEX
set_property PACKAGE_PIN L4 [get_ports {IO_C[22]}]              ;# C28 / AA1~IO_L7P_T1_34
413 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[22]}]
414
 
415 4 ZTEX
set_property PACKAGE_PIN L3 [get_ports {IO_C[23]}]              ;# C29 / W1~IO_L5P_T0_34
416 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[23]}]
417
 
418 4 ZTEX
set_property PACKAGE_PIN K3 [get_ports {IO_C[24]}]              ;# C30 / T1~IO_L1P_T0_34
419 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[24]}]
420
 
421
 
422 4 ZTEX
set_property PACKAGE_PIN V9 [get_ports {IO_D[0]}]               ;# D3 / AB16~IO_L2P_T0_13
423 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[0]}]
424
 
425 4 ZTEX
set_property PACKAGE_PIN V7 [get_ports {IO_D[1]}]               ;# D4 / AA16~IO_L1N_T0_13
426 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[1]}]
427
 
428 4 ZTEX
set_property PACKAGE_PIN V6 [get_ports {IO_D[2]}]               ;# D5 / AB15~IO_L4N_T0_13
429 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[2]}]
430
 
431 4 ZTEX
set_property PACKAGE_PIN V5 [get_ports {IO_D[3]}]               ;# D6 / AA14~IO_L5N_T0_13
432 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[3]}]
433
 
434 4 ZTEX
set_property PACKAGE_PIN V4 [get_ports {IO_D[4]}]               ;# D7 / Y14~IO_L6N_T0_VREF_13
435 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[4]}]
436
 
437 4 ZTEX
set_property PACKAGE_PIN T5 [get_ports {IO_D[5]}]               ;# D8 / AB13~IO_L3N_T0_DQS_13
438 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[5]}]
439
 
440 4 ZTEX
set_property PACKAGE_PIN T4 [get_ports {IO_D[6]}]               ;# D9 / AB11~IO_L7P_T1_13
441 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[6]}]
442
 
443 4 ZTEX
set_property PACKAGE_PIN U4 [get_ports {IO_D[7]}]               ;# D10 / W11~IO_L12P_T1_MRCC_13
444 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[7]}]
445
 
446 4 ZTEX
set_property PACKAGE_PIN U3 [get_ports {IO_D[8]}]               ;# D11 / AA10~IO_L9P_T1_DQS_13
447 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[8]}]
448
 
449 4 ZTEX
set_property PACKAGE_PIN V1 [get_ports {IO_D[9]}]               ;# D12 / AB10~IO_L8N_T1_13
450 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[9]}]
451
 
452 4 ZTEX
set_property PACKAGE_PIN U1 [get_ports {IO_D[10]}]              ;# D13 / Y9~IO_L24N_T3_34
453 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[10]}]
454
 
455 4 ZTEX
set_property PACKAGE_PIN T3 [get_ports {IO_D[11]}]              ;# D14 / AB8~IO_L22N_T3_34
456 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[11]}]
457
 
458 4 ZTEX
set_property PACKAGE_PIN R3 [get_ports {IO_D[12]}]              ;# D15 / W7~IO_L19N_T3_VREF_34
459 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[12]}]
460
 
461 4 ZTEX
set_property PACKAGE_PIN P5 [get_ports {IO_D[13]}]              ;# D19 / AB7~IO_L20P_T3_34
462 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[13]}]
463
 
464 4 ZTEX
set_property PACKAGE_PIN N5 [get_ports {IO_D[14]}]              ;# D20 / AB5~IO_L10N_T1_34
465 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[14]}]
466
 
467 4 ZTEX
set_property PACKAGE_PIN P4 [get_ports {IO_D[15]}]              ;# D21 / AA4~IO_L11N_T1_SRCC_34
468 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[15]}]
469
 
470 4 ZTEX
set_property PACKAGE_PIN P3 [get_ports {IO_D[16]}]              ;# D22 / W4~IO_L12N_T1_MRCC_34
471 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[16]}]
472
 
473 4 ZTEX
set_property PACKAGE_PIN T1 [get_ports {IO_D[17]}]              ;# D23 / AA3~IO_L9N_T1_DQS_34
474 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[17]}]
475
 
476 4 ZTEX
set_property PACKAGE_PIN R1 [get_ports {IO_D[18]}]              ;# D24 / V3~IO_L6N_T0_VREF_34
477 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[18]}]
478
 
479 4 ZTEX
set_property PACKAGE_PIN R2 [get_ports {IO_D[19]}]              ;# D25 / AB2~IO_L8N_T1_34
480 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[19]}]
481
 
482 4 ZTEX
set_property PACKAGE_PIN P2 [get_ports {IO_D[20]}]              ;# D26 / Y2~IO_L4N_T0_34
483 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[20]}]
484
 
485 4 ZTEX
set_property PACKAGE_PIN N2 [get_ports {IO_D[21]}]              ;# D27 / V2~IO_L2N_T0_34
486 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[21]}]
487
 
488 4 ZTEX
set_property PACKAGE_PIN N1 [get_ports {IO_D[22]}]              ;# D28 / AB1~IO_L7N_T1_34
489 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[22]}]
490
 
491 4 ZTEX
set_property PACKAGE_PIN M1 [get_ports {IO_D[23]}]              ;# D29 / Y1~IO_L5N_T0_34
492 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[23]}]
493
 
494 4 ZTEX
set_property PACKAGE_PIN L1 [get_ports {IO_D[24]}]              ;# D30 / U1~IO_L1N_T0_34
495 3 ZTEX
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[24]}]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.