OpenCores
URL https://opencores.org/ocsvn/usb_fpga_1_2/usb_fpga_1_2/trunk

Subversion Repositories usb_fpga_1_2

[/] [usb_fpga_1_2/] [trunk/] [examples/] [usb-fpga-1.2/] [ucecho/] [fpga/] [ucecho.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
library ieee;
2
use IEEE.std_logic_1164.all;
3
use IEEE.numeric_std.all;
4
 
5
entity ucecho is
6
   port(
7
      pc      : in unsigned(7 downto 0);
8
      pb      : out unsigned(7 downto 0);
9
      CLK     : in std_logic
10
   );
11
end ucecho;
12
 
13
 
14
--signal declaration
15
architecture RTL of ucecho is
16
 
17
begin
18
    dpUCECHO: process(CLK)
19
    begin
20
         if CLK' event and CLK = '1' then
21
            if ( pc >= 97 ) and ( pc <= 122)
22
            then
23
                pb <= pc - 32;
24
            else
25
                pb <= pc;
26
            end if;
27
        end if;
28
    end process dpUCECHO;
29
 
30
end RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.