OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_14/usb_fpga_2_14/trunk

Subversion Repositories usb_fpga_2_14

[/] [usb_fpga_2_14/] [trunk/] [constraints/] [usb-fpga-2.14.xdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
# !!! Constraint files are application specific !!!
2
# !!!          This is a template only          !!!
3
 
4
# on-board signals
5
 
6
# CLK
7
create_clock -name clk_in -period 38.462 [get_ports clk_in]
8
set_property PACKAGE_PIN P15 [get_ports clk_in]
9
set_property IOSTANDARD LVCMOS33 [get_ports clk_in]
10
 
11
# IFCLK
12
create_clock -name ifclk_in -period 10 [get_ports ifclk_in]
13
set_property PACKAGE_PIN P17 [get_ports ifclk_in]
14
set_property IOSTANDARD LVCMOS33 [get_ports ifclk_in]
15
 
16
 
17
set_property PACKAGE_PIN K17 [get_ports {DQ[0]}]                ;# DQ0
18
set_property IOSTANDARD LVCMOS33 [get_ports {DQ[0]}]
19
 
20
set_property PACKAGE_PIN K18 [get_ports {DQ[1]}]                ;# DQ1
21
set_property IOSTANDARD LVCMOS33 [get_ports {DQ[1]}]
22
 
23
set_property PACKAGE_PIN L14 [get_ports {DQ[2]}]                ;# DQ2
24
set_property IOSTANDARD LVCMOS33 [get_ports {DQ[2]}]
25
 
26
set_property PACKAGE_PIN M14 [get_ports {DQ[3]}]                ;# DQ3
27
set_property IOSTANDARD LVCMOS33 [get_ports {DQ[3]}]
28
 
29
set_property PACKAGE_PIN L18 [get_ports {DQ[4]}]                ;# DQ4
30
set_property IOSTANDARD LVCMOS33 [get_ports {DQ[4]}]
31
 
32
set_property PACKAGE_PIN M18 [get_ports {DQ[5]}]                ;# DQ5
33
set_property IOSTANDARD LVCMOS33 [get_ports {DQ[5]}]
34
 
35
set_property PACKAGE_PIN R12 [get_ports {DQ[6]}]                ;# DQ6
36
set_property IOSTANDARD LVCMOS33 [get_ports {DQ[6]}]
37
 
38
set_property PACKAGE_PIN R13 [get_ports {DQ[7]}]                ;# DQ7
39
set_property IOSTANDARD LVCMOS33 [get_ports {DQ[7]}]
40
 
41
set_property PACKAGE_PIN M13 [get_ports {DQ[8]}]                ;# DQ8
42
set_property IOSTANDARD LVCMOS33 [get_ports {DQ[8]}]
43
 
44
set_property PACKAGE_PIN R18 [get_ports {DQ[9]}]                ;# DQ9
45
set_property IOSTANDARD LVCMOS33 [get_ports {DQ[9]}]
46
 
47
set_property PACKAGE_PIN T18 [get_ports {DQ[10]}]               ;# DQ10
48
set_property IOSTANDARD LVCMOS33 [get_ports {DQ[10]}]
49
 
50
set_property PACKAGE_PIN N14 [get_ports {DQ[11]}]               ;# DQ11
51
set_property IOSTANDARD LVCMOS33 [get_ports {DQ[11]}]
52
 
53
set_property PACKAGE_PIN P14 [get_ports {DQ[12]}]               ;# DQ12
54
set_property IOSTANDARD LVCMOS33 [get_ports {DQ[12]}]
55
 
56
set_property PACKAGE_PIN P18 [get_ports {DQ[13]}]               ;# DQ13
57
set_property IOSTANDARD LVCMOS33 [get_ports {DQ[13]}]
58
 
59
set_property PACKAGE_PIN M16 [get_ports {DQ[14]}]               ;# DQ14
60
set_property IOSTANDARD LVCMOS33 [get_ports {DQ[14]}]
61
 
62
set_property PACKAGE_PIN M17 [get_ports {DQ[15]}]               ;# DQ15
63
set_property IOSTANDARD LVCMOS33 [get_ports {DQ[15]}]
64
 
65
 
66
set_property PACKAGE_PIN R16 [get_ports {GPIO38}]               ;# GPIO38/RDWR_B
67
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO38}]
68
 
69
set_property PACKAGE_PIN V15 [get_ports {GPIO39}]               ;# GPIO39/CSI_B
70
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO39}]
71
 
72
 
73
set_property PACKAGE_PIN T16 [get_ports {GPIO46}]               ;# GPIO46/UART_RTS
74
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO46}]
75
 
76
set_property PACKAGE_PIN U18 [get_ports {GPIO47}]               ;# GPIO47/UART_CTS
77
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO47}]
78
 
79
set_property PACKAGE_PIN U17 [get_ports {GPIO48}]               ;# GPIO48/UART_TX
80
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO48}]
81
 
82
set_property PACKAGE_PIN V17 [get_ports {GPIO49}]               ;# GPIO49/UART_RX
83
set_property IOSTANDARD LVCMOS33 [get_ports {GPIO49}]
84
 
85
 
86
set_property PACKAGE_PIN U12 [get_ports {CTL0}]                 ;# CTL0/SLCS#/GPIO17
87
set_property IOSTANDARD LVCMOS33 [get_ports {CTL0}]
88
 
89
set_property PACKAGE_PIN U11 [get_ports {CTL1}]                 ;# CTL1/SLWR#/GPIO18
90
set_property IOSTANDARD LVCMOS33 [get_ports {CTL1}]
91
 
92
set_property PACKAGE_PIN U13 [get_ports {CTL2}]                 ;# CTL2/SLOE#/GPIO19
93
set_property IOSTANDARD LVCMOS33 [get_ports {CTL2}]
94
 
95
set_property PACKAGE_PIN V12 [get_ports {CTL3}]                 ;# CTL3/SLRD#/GPIO20
96
set_property IOSTANDARD LVCMOS33 [get_ports {CTL3}]
97
 
98
set_property PACKAGE_PIN V11 [get_ports {CTL4}]                 ;# CTL4/FLAGA/GPIO21
99
set_property IOSTANDARD LVCMOS33 [get_ports {CTL4}]
100
 
101
set_property PACKAGE_PIN V14 [get_ports {CTL5}]                 ;# CTL5/FLAGB/GPIO22
102
set_property IOSTANDARD LVCMOS33 [get_ports {CTL5}]
103
 
104
set_property PACKAGE_PIN T14 [get_ports {CTL6}]                 ;# CTL6/GPIO23
105
set_property IOSTANDARD LVCMOS33 [get_ports {CTL6}]
106
 
107
set_property PACKAGE_PIN V10 [get_ports {CTL7}]                 ;# CTL7/PKTEND#/GPIO24
108
set_property IOSTANDARD LVCMOS33 [get_ports {CTL7}]
109
 
110
set_property PACKAGE_PIN V16 [get_ports {CTL8}]                 ;# CTL8/GPIO25
111
set_property IOSTANDARD LVCMOS33 [get_ports {CTL8}]
112
 
113
set_property PACKAGE_PIN U14 [get_ports {CTL9}]                 ;# CTL9/GPIO26
114
set_property IOSTANDARD LVCMOS33 [get_ports {CTL9}]
115
 
116
set_property PACKAGE_PIN T15 [get_ports {CTL11}]                ;# CTL11/A1/GPIO28
117
set_property IOSTANDARD LVCMOS33 [get_ports {CTL11}]
118
 
119
set_property PACKAGE_PIN U16 [get_ports {CTL12}]                ;# CTL12/A0/GPIO29
120
set_property IOSTANDARD LVCMOS33 [get_ports {CTL12}]
121
 
122
set_property PACKAGE_PIN T13 [get_ports {CTL15}]                ;# INT#/CTL15
123
set_property IOSTANDARD LVCMOS33 [get_ports {CTL15}]
124
 
125
 
126
set_property PACKAGE_PIN T10 [get_ports {SCL}]                  ;# SCL
127
set_property IOSTANDARD LVCMOS33 [get_ports {SCL}]
128
 
129
set_property PACKAGE_PIN T9 [get_ports {SDA}]           ;# SDA
130
set_property IOSTANDARD LVCMOS33 [get_ports {SDA}]
131
 
132
 
133
set_property PACKAGE_PIN R17 [get_ports {SPI_CLK}]              ;# FPGA_CLK
134
set_property IOSTANDARD LVCMOS33 [get_ports {SPI_CLK}]
135
 
136
set_property PACKAGE_PIN N17 [get_ports {SPI_CS_N}]             ;# FPGA_CS#
137
set_property IOSTANDARD LVCMOS33 [get_ports {SPI_CS_N}]
138
 
139
set_property PACKAGE_PIN N16 [get_ports {SPI_MISO}]             ;# FPGA_MISO
140
set_property IOSTANDARD LVCMOS33 [get_ports {SPI_MISO}]
141
 
142
set_property PACKAGE_PIN L16 [get_ports {SPI_MOSI}]             ;# FPGA_MOSI
143
set_property IOSTANDARD LVCMOS33 [get_ports {SPI_MOSI}]
144
 
145
 
146
set_property PACKAGE_PIN T11 [get_ports {LED1_red}]             ;# LED1:red
147
set_property IOSTANDARD LVCMOS33 [get_ports {LED1_red}]
148
 
149
 
150
# external I/O
151
 
152
set_property PACKAGE_PIN K16 [get_ports {IO_A[0]}]              ;# A3 / K16~IO_25_15
153
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[0]}]
154
 
155
set_property PACKAGE_PIN K15 [get_ports {IO_A[1]}]              ;# A4 / K15~IO_L24P_T3_RS1_15
156
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[1]}]
157
 
158
set_property PACKAGE_PIN J15 [get_ports {IO_A[2]}]              ;# A5 / J15~IO_L24N_T3_RS0_15
159
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[2]}]
160
 
161
set_property PACKAGE_PIN H15 [get_ports {IO_A[3]}]              ;# A6 / H15~IO_L19N_T3_A21_VREF_15
162
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[3]}]
163
 
164
set_property PACKAGE_PIN J14 [get_ports {IO_A[4]}]              ;# A7 / J14~IO_L19P_T3_A22_15
165
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[4]}]
166
 
167
set_property PACKAGE_PIN H17 [get_ports {IO_A[5]}]              ;# A8 / H17~IO_L18P_T2_A24_15
168
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[5]}]
169
 
170
set_property PACKAGE_PIN G17 [get_ports {IO_A[6]}]              ;# A9 / G17~IO_L18N_T2_A23_15
171
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[6]}]
172
 
173
set_property PACKAGE_PIN G18 [get_ports {IO_A[7]}]              ;# A10 / G18~IO_L22P_T3_A17_15
174
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[7]}]
175
 
176
set_property PACKAGE_PIN F18 [get_ports {IO_A[8]}]              ;# A11 / F18~IO_L22N_T3_A16_15
177
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[8]}]
178
 
179
set_property PACKAGE_PIN E18 [get_ports {IO_A[9]}]              ;# A12 / E18~IO_L21P_T3_DQS_15
180
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[9]}]
181
 
182
set_property PACKAGE_PIN D18 [get_ports {IO_A[10]}]             ;# A13 / D18~IO_L21N_T3_DQS_A18_15
183
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[10]}]
184
 
185
set_property PACKAGE_PIN G13 [get_ports {IO_A[11]}]             ;# A14 / G13~IO_0_15
186
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[11]}]
187
 
188
set_property PACKAGE_PIN F13 [get_ports {IO_A[12]}]             ;# A18 / F13~IO_L5P_T0_AD9P_15
189
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[12]}]
190
 
191
set_property PACKAGE_PIN E16 [get_ports {IO_A[13]}]             ;# A19 / E16~IO_L11N_T1_SRCC_15
192
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[13]}]
193
 
194
set_property PACKAGE_PIN C17 [get_ports {IO_A[14]}]             ;# A20 / C17~IO_L20N_T3_A19_15
195
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[14]}]
196
 
197
set_property PACKAGE_PIN A18 [get_ports {IO_A[15]}]             ;# A21 / A18~IO_L10N_T1_AD11N_15
198
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[15]}]
199
 
200
set_property PACKAGE_PIN C15 [get_ports {IO_A[16]}]             ;# A22 / C15~IO_L12N_T1_MRCC_15
201
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[16]}]
202
 
203
set_property PACKAGE_PIN B17 [get_ports {IO_A[17]}]             ;# A23 / B17~IO_L7N_T1_AD2N_15
204
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[17]}]
205
 
206
set_property PACKAGE_PIN C14 [get_ports {IO_A[18]}]             ;# A24 / C14~IO_L1N_T0_AD0N_15
207
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[18]}]
208
 
209
set_property PACKAGE_PIN D13 [get_ports {IO_A[19]}]             ;# A25 / D13~IO_L6N_T0_VREF_15
210
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[19]}]
211
 
212
set_property PACKAGE_PIN A16 [get_ports {IO_A[20]}]             ;# A26 / A16~IO_L8N_T1_AD10N_15
213
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[20]}]
214
 
215
set_property PACKAGE_PIN B14 [get_ports {IO_A[21]}]             ;# A27 / B14~IO_L2N_T0_AD8N_15
216
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[21]}]
217
 
218
set_property PACKAGE_PIN B12 [get_ports {IO_A[22]}]             ;# A28 / B12~IO_L3N_T0_DQS_AD1N_15
219
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[22]}]
220
 
221
set_property PACKAGE_PIN A14 [get_ports {IO_A[23]}]             ;# A29 / A14~IO_L9N_T1_DQS_AD3N_15
222
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[23]}]
223
 
224
set_property PACKAGE_PIN B11 [get_ports {IO_A[24]}]             ;# A30 / B11~IO_L4P_T0_15
225
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[24]}]
226
 
227
 
228
set_property PACKAGE_PIN J18 [get_ports {IO_B[0]}]              ;# B3 / J18~IO_L23N_T3_FWE_B_15
229
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[0]}]
230
 
231
set_property PACKAGE_PIN J17 [get_ports {IO_B[1]}]              ;# B4 / J17~IO_L23P_T3_FOE_B_15
232
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[1]}]
233
 
234
set_property PACKAGE_PIN K13 [get_ports {IO_B[2]}]              ;# B5 / K13~IO_L17P_T2_A26_15
235
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[2]}]
236
 
237
set_property PACKAGE_PIN J13 [get_ports {IO_B[3]}]              ;# B6 / J13~IO_L17N_T2_A25_15
238
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[3]}]
239
 
240
set_property PACKAGE_PIN H14 [get_ports {IO_B[4]}]              ;# B7 / H14~IO_L15P_T2_DQS_15
241
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[4]}]
242
 
243
set_property PACKAGE_PIN G14 [get_ports {IO_B[5]}]              ;# B8 / G14~IO_L15N_T2_DQS_ADV_B_15
244
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[5]}]
245
 
246
set_property PACKAGE_PIN G16 [get_ports {IO_B[6]}]              ;# B9 / G16~IO_L13N_T2_MRCC_15
247
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[6]}]
248
 
249
set_property PACKAGE_PIN H16 [get_ports {IO_B[7]}]              ;# B10 / H16~IO_L13P_T2_MRCC_15
250
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[7]}]
251
 
252
set_property PACKAGE_PIN F16 [get_ports {IO_B[8]}]              ;# B11 / F16~IO_L14N_T2_SRCC_15
253
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[8]}]
254
 
255
set_property PACKAGE_PIN F15 [get_ports {IO_B[9]}]              ;# B12 / F15~IO_L14P_T2_SRCC_15
256
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[9]}]
257
 
258
set_property PACKAGE_PIN E17 [get_ports {IO_B[10]}]             ;# B13 / E17~IO_L16P_T2_A28_15
259
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[10]}]
260
 
261
set_property PACKAGE_PIN D17 [get_ports {IO_B[11]}]             ;# B14 / D17~IO_L16N_T2_A27_15
262
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[11]}]
263
 
264
set_property PACKAGE_PIN F14 [get_ports {IO_B[12]}]             ;# B18 / F14~IO_L5N_T0_AD9N_15
265
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[12]}]
266
 
267
set_property PACKAGE_PIN E15 [get_ports {IO_B[13]}]             ;# B19 / E15~IO_L11P_T1_SRCC_15
268
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[13]}]
269
 
270
set_property PACKAGE_PIN C16 [get_ports {IO_B[14]}]             ;# B20 / C16~IO_L20P_T3_A20_15
271
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[14]}]
272
 
273
set_property PACKAGE_PIN B18 [get_ports {IO_B[15]}]             ;# B21 / B18~IO_L10P_T1_AD11P_15
274
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[15]}]
275
 
276
set_property PACKAGE_PIN D15 [get_ports {IO_B[16]}]             ;# B22 / D15~IO_L12P_T1_MRCC_15
277
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[16]}]
278
 
279
set_property PACKAGE_PIN B16 [get_ports {IO_B[17]}]             ;# B23 / B16~IO_L7P_T1_AD2P_15
280
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[17]}]
281
 
282
set_property PACKAGE_PIN D14 [get_ports {IO_B[18]}]             ;# B24 / D14~IO_L1P_T0_AD0P_15
283
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[18]}]
284
 
285
set_property PACKAGE_PIN D12 [get_ports {IO_B[19]}]             ;# B25 / D12~IO_L6P_T0_15
286
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[19]}]
287
 
288
set_property PACKAGE_PIN A15 [get_ports {IO_B[20]}]             ;# B26 / A15~IO_L8P_T1_AD10P_15
289
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[20]}]
290
 
291
set_property PACKAGE_PIN B13 [get_ports {IO_B[21]}]             ;# B27 / B13~IO_L2P_T0_AD8P_15
292
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[21]}]
293
 
294
set_property PACKAGE_PIN C12 [get_ports {IO_B[22]}]             ;# B28 / C12~IO_L3P_T0_DQS_AD1P_15
295
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[22]}]
296
 
297
set_property PACKAGE_PIN A13 [get_ports {IO_B[23]}]             ;# B29 / A13~IO_L9P_T1_DQS_AD3P_15
298
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[23]}]
299
 
300
set_property PACKAGE_PIN A11 [get_ports {IO_B[24]}]             ;# B30 / A11~IO_L4N_T0_15
301
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[24]}]
302
 
303
 
304
set_property PACKAGE_PIN U9 [get_ports {IO_C[0]}]               ;# C3 / U9~IO_L21P_T3_DQS_34
305
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[0]}]
306
 
307
set_property PACKAGE_PIN U8 [get_ports {IO_C[1]}]               ;# C4 / U8~IO_25_34
308
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[1]}]
309
 
310
set_property PACKAGE_PIN U7 [get_ports {IO_C[2]}]               ;# C5 / U7~IO_L22P_T3_34
311
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[2]}]
312
 
313
set_property PACKAGE_PIN U6 [get_ports {IO_C[3]}]               ;# C6 / U6~IO_L22N_T3_34
314
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[3]}]
315
 
316
set_property PACKAGE_PIN T8 [get_ports {IO_C[4]}]               ;# C7 / T8~IO_L24N_T3_34
317
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[4]}]
318
 
319
set_property PACKAGE_PIN R8 [get_ports {IO_C[5]}]               ;# C8 / R8~IO_L24P_T3_34
320
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[5]}]
321
 
322
set_property PACKAGE_PIN R7 [get_ports {IO_C[6]}]               ;# C9 / R7~IO_L23P_T3_34
323
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[6]}]
324
 
325
set_property PACKAGE_PIN T6 [get_ports {IO_C[7]}]               ;# C10 / T6~IO_L23N_T3_34
326
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[7]}]
327
 
328
set_property PACKAGE_PIN R6 [get_ports {IO_C[8]}]               ;# C11 / R6~IO_L19P_T3_34
329
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[8]}]
330
 
331
set_property PACKAGE_PIN R5 [get_ports {IO_C[9]}]               ;# C12 / R5~IO_L19N_T3_VREF_34
332
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[9]}]
333
 
334
set_property PACKAGE_PIN V2 [get_ports {IO_C[10]}]              ;# C13 / V2~IO_L9N_T1_DQS_34
335
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[10]}]
336
 
337
set_property PACKAGE_PIN U2 [get_ports {IO_C[11]}]              ;# C14 / U2~IO_L9P_T1_DQS_34
338
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[11]}]
339
 
340
set_property PACKAGE_PIN K6 [get_ports {IO_C[12]}]              ;# C15 / K6~IO_0_34
341
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[12]}]
342
 
343
set_property PACKAGE_PIN N6 [get_ports {IO_C[13]}]              ;# C19 / N6~IO_L18N_T2_34
344
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[13]}]
345
 
346
set_property PACKAGE_PIN M6 [get_ports {IO_C[14]}]              ;# C20 / M6~IO_L18P_T2_34
347
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[14]}]
348
 
349
set_property PACKAGE_PIN L6 [get_ports {IO_C[15]}]              ;# C21 / L6~IO_L6P_T0_34
350
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[15]}]
351
 
352
set_property PACKAGE_PIN L5 [get_ports {IO_C[16]}]              ;# C22 / L5~IO_L6N_T0_VREF_34
353
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[16]}]
354
 
355
set_property PACKAGE_PIN N4 [get_ports {IO_C[17]}]              ;# C23 / N4~IO_L16N_T2_34
356
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[17]}]
357
 
358
set_property PACKAGE_PIN M4 [get_ports {IO_C[18]}]              ;# C24 / M4~IO_L16P_T2_34
359
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[18]}]
360
 
361
set_property PACKAGE_PIN M3 [get_ports {IO_C[19]}]              ;# C25 / M3~IO_L4P_T0_34
362
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[19]}]
363
 
364
set_property PACKAGE_PIN M2 [get_ports {IO_C[20]}]              ;# C26 / M2~IO_L4N_T0_34
365
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[20]}]
366
 
367
set_property PACKAGE_PIN K5 [get_ports {IO_C[21]}]              ;# C27 / K5~IO_L5P_T0_34
368
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[21]}]
369
 
370
set_property PACKAGE_PIN L4 [get_ports {IO_C[22]}]              ;# C28 / L4~IO_L5N_T0_34
371
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[22]}]
372
 
373
set_property PACKAGE_PIN L3 [get_ports {IO_C[23]}]              ;# C29 / L3~IO_L2N_T0_34
374
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[23]}]
375
 
376
set_property PACKAGE_PIN K3 [get_ports {IO_C[24]}]              ;# C30 / K3~IO_L2P_T0_34
377
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[24]}]
378
 
379
 
380
set_property PACKAGE_PIN V9 [get_ports {IO_D[0]}]               ;# D3 / V9~IO_L21N_T3_DQS_34
381
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[0]}]
382
 
383
set_property PACKAGE_PIN V7 [get_ports {IO_D[1]}]               ;# D4 / V7~IO_L20P_T3_34
384
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[1]}]
385
 
386
set_property PACKAGE_PIN V6 [get_ports {IO_D[2]}]               ;# D5 / V6~IO_L20N_T3_34
387
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[2]}]
388
 
389
set_property PACKAGE_PIN V5 [get_ports {IO_D[3]}]               ;# D6 / V5~IO_L10P_T1_34
390
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[3]}]
391
 
392
set_property PACKAGE_PIN V4 [get_ports {IO_D[4]}]               ;# D7 / V4~IO_L10N_T1_34
393
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[4]}]
394
 
395
set_property PACKAGE_PIN T5 [get_ports {IO_D[5]}]               ;# D8 / T5~IO_L12P_T1_MRCC_34
396
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[5]}]
397
 
398
set_property PACKAGE_PIN T4 [get_ports {IO_D[6]}]               ;# D9 / T4~IO_L12N_T1_MRCC_34
399
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[6]}]
400
 
401
set_property PACKAGE_PIN U4 [get_ports {IO_D[7]}]               ;# D10 / U4~IO_L8P_T1_34
402
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[7]}]
403
 
404
set_property PACKAGE_PIN U3 [get_ports {IO_D[8]}]               ;# D11 / U3~IO_L8N_T1_34
405
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[8]}]
406
 
407
set_property PACKAGE_PIN V1 [get_ports {IO_D[9]}]               ;# D12 / V1~IO_L7N_T1_34
408
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[9]}]
409
 
410
set_property PACKAGE_PIN U1 [get_ports {IO_D[10]}]              ;# D13 / U1~IO_L7P_T1_34
411
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[10]}]
412
 
413
set_property PACKAGE_PIN T3 [get_ports {IO_D[11]}]              ;# D14 / T3~IO_L11N_T1_SRCC_34
414
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[11]}]
415
 
416
set_property PACKAGE_PIN R3 [get_ports {IO_D[12]}]              ;# D15 / R3~IO_L11P_T1_SRCC_34
417
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[12]}]
418
 
419
set_property PACKAGE_PIN P5 [get_ports {IO_D[13]}]              ;# D19 / P5~IO_L13N_T2_MRCC_34
420
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[13]}]
421
 
422
set_property PACKAGE_PIN N5 [get_ports {IO_D[14]}]              ;# D20 / N5~IO_L13P_T2_MRCC_34
423
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[14]}]
424
 
425
set_property PACKAGE_PIN P4 [get_ports {IO_D[15]}]              ;# D21 / P4~IO_L14P_T2_SRCC_34
426
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[15]}]
427
 
428
set_property PACKAGE_PIN P3 [get_ports {IO_D[16]}]              ;# D22 / P3~IO_L14N_T2_SRCC_34
429
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[16]}]
430
 
431
set_property PACKAGE_PIN T1 [get_ports {IO_D[17]}]              ;# D23 / T1~IO_L17N_T2_34
432
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[17]}]
433
 
434
set_property PACKAGE_PIN R1 [get_ports {IO_D[18]}]              ;# D24 / R1~IO_L17P_T2_34
435
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[18]}]
436
 
437
set_property PACKAGE_PIN R2 [get_ports {IO_D[19]}]              ;# D25 / R2~IO_L15N_T2_DQS_34
438
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[19]}]
439
 
440
set_property PACKAGE_PIN P2 [get_ports {IO_D[20]}]              ;# D26 / P2~IO_L15P_T2_DQS_34
441
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[20]}]
442
 
443
set_property PACKAGE_PIN N2 [get_ports {IO_D[21]}]              ;# D27 / N2~IO_L3P_T0_DQS_34
444
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[21]}]
445
 
446
set_property PACKAGE_PIN N1 [get_ports {IO_D[22]}]              ;# D28 / N1~IO_L3N_T0_DQS_34
447
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[22]}]
448
 
449
set_property PACKAGE_PIN M1 [get_ports {IO_D[23]}]              ;# D29 / M1~IO_L1N_T0_34
450
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[23]}]
451
 
452
set_property PACKAGE_PIN L1 [get_ports {IO_D[24]}]              ;# D30 / L1~IO_L1P_T0_34
453
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[24]}]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.