OpenCores
URL https://opencores.org/ocsvn/usb_ft232h_avalon-mm_interface/usb_ft232h_avalon-mm_interface/trunk

Subversion Repositories usb_ft232h_avalon-mm_interface

[/] [usb_ft232h_avalon-mm_interface/] [trunk/] [testbench/] [altera_project/] [test_usb_ft232h/] [usb_ft232h_hw.tcl] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 melman701
# TCL File Generated by Component Editor 16.0
2
# Thu Apr 06 17:15:58 FET 2017
3
# DO NOT MODIFY
4
 
5
 
6
# 
7
# usb_ft232h "USB FT232H" v1.8
8
# Dmitry Elmanov 2017.04.06.17:15:58
9
# 
10
# 
11
 
12
# 
13
# request TCL package from ACDS 16.0
14
# 
15
package require -exact qsys 16.0
16
 
17
 
18
# 
19
# module usb_ft232h
20
# 
21
set_module_property DESCRIPTION ""
22
set_module_property NAME usb_ft232h
23
set_module_property VERSION 1.8
24
set_module_property INTERNAL false
25
set_module_property OPAQUE_ADDRESS_MAP true
26
set_module_property GROUP USB
27
set_module_property AUTHOR "Dmitry Elmanov"
28
set_module_property DISPLAY_NAME "USB FT232H"
29
set_module_property INSTANTIATE_IN_SYSTEM_MODULE true
30
set_module_property EDITABLE true
31
set_module_property REPORT_TO_TALKBACK false
32
set_module_property ALLOW_GREYBOX_GENERATION false
33
set_module_property REPORT_HIERARCHY false
34
 
35
 
36
# 
37
# file sets
38
# 
39
add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" ""
40
set_fileset_property QUARTUS_SYNTH TOP_LEVEL usb_ft232h
41
set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false
42
set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false
43
add_fileset_file usb_ft232h.sv SYSTEM_VERILOG PATH usb_ft232h.sv TOP_LEVEL_FILE
44
 
45
 
46
# 
47
# parameters
48
# 
49
add_parameter TX_FIFO_NUMWORDS INTEGER 512 "Size of tx FIFO"
50
set_parameter_property TX_FIFO_NUMWORDS DEFAULT_VALUE 512
51
set_parameter_property TX_FIFO_NUMWORDS DISPLAY_NAME "Transmit buffer size"
52
set_parameter_property TX_FIFO_NUMWORDS TYPE INTEGER
53
set_parameter_property TX_FIFO_NUMWORDS UNITS None
54
set_parameter_property TX_FIFO_NUMWORDS ALLOWED_RANGES -2147483648:2147483647
55
set_parameter_property TX_FIFO_NUMWORDS DESCRIPTION "Size of tx FIFO"
56
set_parameter_property TX_FIFO_NUMWORDS HDL_PARAMETER true
57
add_parameter TX_FIFO_WIDTHU INTEGER 9
58
set_parameter_property TX_FIFO_WIDTHU DEFAULT_VALUE 9
59
set_parameter_property TX_FIFO_WIDTHU DISPLAY_NAME "Transmit buffer size width"
60
set_parameter_property TX_FIFO_WIDTHU TYPE INTEGER
61
set_parameter_property TX_FIFO_WIDTHU UNITS None
62
set_parameter_property TX_FIFO_WIDTHU ALLOWED_RANGES -2147483648:2147483647
63
set_parameter_property TX_FIFO_WIDTHU HDL_PARAMETER true
64
add_parameter RX_FIFO_NUMWORDS INTEGER 512
65
set_parameter_property RX_FIFO_NUMWORDS DEFAULT_VALUE 512
66
set_parameter_property RX_FIFO_NUMWORDS DISPLAY_NAME "Receive buffer size"
67
set_parameter_property RX_FIFO_NUMWORDS TYPE INTEGER
68
set_parameter_property RX_FIFO_NUMWORDS UNITS None
69
set_parameter_property RX_FIFO_NUMWORDS ALLOWED_RANGES -2147483648:2147483647
70
set_parameter_property RX_FIFO_NUMWORDS HDL_PARAMETER true
71
add_parameter RX_FIFO_WIDTHU INTEGER 9
72
set_parameter_property RX_FIFO_WIDTHU DEFAULT_VALUE 9
73
set_parameter_property RX_FIFO_WIDTHU DISPLAY_NAME "Receive buffer size width"
74
set_parameter_property RX_FIFO_WIDTHU TYPE INTEGER
75
set_parameter_property RX_FIFO_WIDTHU UNITS None
76
set_parameter_property RX_FIFO_WIDTHU ALLOWED_RANGES -2147483648:2147483647
77
set_parameter_property RX_FIFO_WIDTHU HDL_PARAMETER true
78
add_parameter FIFOS_DELAYPIPE INTEGER 11 ""
79
set_parameter_property FIFOS_DELAYPIPE DEFAULT_VALUE 11
80
set_parameter_property FIFOS_DELAYPIPE DISPLAY_NAME "FIFO's delaypipe"
81
set_parameter_property FIFOS_DELAYPIPE WIDTH ""
82
set_parameter_property FIFOS_DELAYPIPE TYPE INTEGER
83
set_parameter_property FIFOS_DELAYPIPE UNITS None
84
set_parameter_property FIFOS_DELAYPIPE ALLOWED_RANGES -2147483648:2147483647
85
set_parameter_property FIFOS_DELAYPIPE DESCRIPTION ""
86
set_parameter_property FIFOS_DELAYPIPE HDL_PARAMETER true
87
add_parameter USB_READ_LATENCY INTEGER 1
88
set_parameter_property USB_READ_LATENCY DEFAULT_VALUE 1
89
set_parameter_property USB_READ_LATENCY DISPLAY_NAME "USB read delaypipe"
90
set_parameter_property USB_READ_LATENCY TYPE INTEGER
91
set_parameter_property USB_READ_LATENCY UNITS None
92
set_parameter_property USB_READ_LATENCY ALLOWED_RANGES -2147483648:2147483647
93
set_parameter_property USB_READ_LATENCY HDL_PARAMETER true
94
add_parameter USB_WRITE_LATENCY INTEGER 1
95
set_parameter_property USB_WRITE_LATENCY DEFAULT_VALUE 1
96
set_parameter_property USB_WRITE_LATENCY DISPLAY_NAME "USB write delaypipe"
97
set_parameter_property USB_WRITE_LATENCY TYPE INTEGER
98
set_parameter_property USB_WRITE_LATENCY UNITS None
99
set_parameter_property USB_WRITE_LATENCY ALLOWED_RANGES -2147483648:2147483647
100
set_parameter_property USB_WRITE_LATENCY HDL_PARAMETER true
101
add_parameter AVALON_CMD_LATENCY INTEGER 1
102
set_parameter_property AVALON_CMD_LATENCY DEFAULT_VALUE 1
103
set_parameter_property AVALON_CMD_LATENCY DISPLAY_NAME "Command delaypipe"
104
set_parameter_property AVALON_CMD_LATENCY TYPE INTEGER
105
set_parameter_property AVALON_CMD_LATENCY UNITS None
106
set_parameter_property AVALON_CMD_LATENCY ALLOWED_RANGES -2147483648:2147483647
107
set_parameter_property AVALON_CMD_LATENCY HDL_PARAMETER true
108
add_parameter AVALON_READ_LATENCY INTEGER 1
109
set_parameter_property AVALON_READ_LATENCY DEFAULT_VALUE 1
110
set_parameter_property AVALON_READ_LATENCY DISPLAY_NAME "Read data delaypipe"
111
set_parameter_property AVALON_READ_LATENCY TYPE INTEGER
112
set_parameter_property AVALON_READ_LATENCY UNITS None
113
set_parameter_property AVALON_READ_LATENCY ALLOWED_RANGES -2147483648:2147483647
114
set_parameter_property AVALON_READ_LATENCY HDL_PARAMETER true
115
add_parameter AVALON_WRITE_LATENCY INTEGER 1
116
set_parameter_property AVALON_WRITE_LATENCY DEFAULT_VALUE 1
117
set_parameter_property AVALON_WRITE_LATENCY DISPLAY_NAME "Write data delaypipe"
118
set_parameter_property AVALON_WRITE_LATENCY TYPE INTEGER
119
set_parameter_property AVALON_WRITE_LATENCY UNITS None
120
set_parameter_property AVALON_WRITE_LATENCY ALLOWED_RANGES -2147483648:2147483647
121
set_parameter_property AVALON_WRITE_LATENCY HDL_PARAMETER true
122
 
123
 
124
# 
125
# display items
126
# 
127
add_display_item "" FIFO GROUP ""
128
add_display_item "" USB GROUP ""
129
add_display_item "" "Avalon-MM Slave" GROUP ""
130
add_display_item "" "FIFO's" GROUP ""
131
add_display_item FIFO TX_FIFO_NUMWORDS PARAMETER ""
132
add_display_item FIFO TX_FIFO_WIDTHU PARAMETER ""
133
add_display_item FIFO RX_FIFO_NUMWORDS PARAMETER ""
134
add_display_item FIFO RX_FIFO_WIDTHU PARAMETER ""
135
add_display_item FIFO FIFOS_DELAYPIPE PARAMETER ""
136
add_display_item USB USB_READ_LATENCY PARAMETER ""
137
add_display_item USB USB_WRITE_LATENCY PARAMETER ""
138
add_display_item "Avalon-MM Slave" AVALON_CMD_LATENCY PARAMETER ""
139
add_display_item "Avalon-MM Slave" AVALON_READ_LATENCY PARAMETER ""
140
add_display_item "Avalon-MM Slave" AVALON_WRITE_LATENCY PARAMETER ""
141
 
142
 
143
# 
144
# connection point avalon_slave
145
# 
146
add_interface avalon_slave avalon end
147
set_interface_property avalon_slave addressUnits SYMBOLS
148
set_interface_property avalon_slave associatedClock clock_sink
149
set_interface_property avalon_slave associatedReset reset_sink
150
set_interface_property avalon_slave bitsPerSymbol 8
151
set_interface_property avalon_slave burstOnBurstBoundariesOnly false
152
set_interface_property avalon_slave burstcountUnits SYMBOLS
153
set_interface_property avalon_slave explicitAddressSpan 0
154
set_interface_property avalon_slave holdTime 0
155
set_interface_property avalon_slave linewrapBursts false
156
set_interface_property avalon_slave maximumPendingReadTransactions 64
157
set_interface_property avalon_slave maximumPendingWriteTransactions 0
158
set_interface_property avalon_slave readLatency 0
159
set_interface_property avalon_slave readWaitStates 0
160
set_interface_property avalon_slave readWaitTime 0
161
set_interface_property avalon_slave setupTime 0
162
set_interface_property avalon_slave timingUnits Cycles
163
set_interface_property avalon_slave writeWaitTime 0
164
set_interface_property avalon_slave ENABLED true
165
set_interface_property avalon_slave EXPORT_OF ""
166
set_interface_property avalon_slave PORT_NAME_MAP ""
167
set_interface_property avalon_slave CMSIS_SVD_VARIABLES ""
168
set_interface_property avalon_slave SVD_ADDRESS_GROUP ""
169
 
170
add_interface_port avalon_slave address_i address Input 3
171
add_interface_port avalon_slave read_i read Input 1
172
add_interface_port avalon_slave write_i write Input 1
173
add_interface_port avalon_slave writedata_i writedata Input 8
174
add_interface_port avalon_slave readdata_o readdata Output 8
175
add_interface_port avalon_slave waitrequest_o waitrequest Output 1
176
add_interface_port avalon_slave readdatavalid_o readdatavalid Output 1
177
set_interface_assignment avalon_slave embeddedsw.configuration.isFlash 0
178
set_interface_assignment avalon_slave embeddedsw.configuration.isMemoryDevice 0
179
set_interface_assignment avalon_slave embeddedsw.configuration.isNonVolatileStorage 0
180
set_interface_assignment avalon_slave embeddedsw.configuration.isPrintableDevice 0
181
 
182
 
183
# 
184
# connection point clock_sink
185
# 
186
add_interface clock_sink clock end
187
set_interface_property clock_sink clockRate 0
188
set_interface_property clock_sink ENABLED true
189
set_interface_property clock_sink EXPORT_OF ""
190
set_interface_property clock_sink PORT_NAME_MAP ""
191
set_interface_property clock_sink CMSIS_SVD_VARIABLES ""
192
set_interface_property clock_sink SVD_ADDRESS_GROUP ""
193
 
194
add_interface_port clock_sink clk_i clk Input 1
195
 
196
 
197
# 
198
# connection point ft232h
199
# 
200
add_interface ft232h conduit end
201
set_interface_property ft232h associatedClock clock_sink
202
set_interface_property ft232h associatedReset reset_sink
203
set_interface_property ft232h ENABLED true
204
set_interface_property ft232h EXPORT_OF ""
205
set_interface_property ft232h PORT_NAME_MAP ""
206
set_interface_property ft232h CMSIS_SVD_VARIABLES ""
207
set_interface_property ft232h SVD_ADDRESS_GROUP ""
208
 
209
add_interface_port ft232h usb_clk_i usb_clk Input 1
210
add_interface_port ft232h usb_rxf_n_i usb_rxf_n Input 1
211
add_interface_port ft232h usb_oe_n_o usb_oe_n Output 1
212
add_interface_port ft232h usb_wr_n_o usb_wr_n Output 1
213
add_interface_port ft232h usb_rd_n_o usb_rd_n Output 1
214
add_interface_port ft232h usb_data_io usb_data Bidir 8
215
add_interface_port ft232h usb_txe_n_i usb_txe_n Input 1
216
 
217
 
218
# 
219
# connection point reset_sink
220
# 
221
add_interface reset_sink reset end
222
set_interface_property reset_sink associatedClock clock_sink
223
set_interface_property reset_sink synchronousEdges DEASSERT
224
set_interface_property reset_sink ENABLED true
225
set_interface_property reset_sink EXPORT_OF ""
226
set_interface_property reset_sink PORT_NAME_MAP ""
227
set_interface_property reset_sink CMSIS_SVD_VARIABLES ""
228
set_interface_property reset_sink SVD_ADDRESS_GROUP ""
229
 
230
add_interface_port reset_sink reset_i reset Input 1
231
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.