OpenCores
URL https://opencores.org/ocsvn/usbhostslave/usbhostslave/trunk

Subversion Repositories usbhostslave

[/] [usbhostslave/] [tags/] [rel_00_01_alpha/] [RTL/] [buffers/] [simFifoMem.v] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sfielding
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// simFifoMem.v                                                 ////
4
////                                                              ////
5
//// This file is part of the usbhostslave opencores effort.
6
//// <http://www.opencores.org/cores//>                           ////
7
////                                                              ////
8
//// Module Description:                                          ////
9
//// 
10
////                                                              ////
11
//// To Do:                                                       ////
12
//// 
13
////                                                              ////
14
//// Author(s):                                                   ////
15
//// - Steve Fielding, sfielding@base2designs.com                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG          ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE. See the GNU Lesser General Public License for more  ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from <http://www.opencores.org/lgpl.shtml>                   ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// $Id: simFifoMem.v,v 1.1.1.1 2004-10-11 04:00:51 sfielding Exp $
45
//
46
// CVS Revision History
47
//
48
// $Log: not supported by cvs2svn $
49
//
50
 
51
`timescale 1ns / 1ps
52
 
53
module simFifoMem(      addrIn, addrOut, clk, dataIn, writeEn, readEn, dataOut);
54
  //FIFO_DEPTH = ADDR_WIDTH^2
55
  parameter FIFO_WIDTH = 8;
56
        parameter FIFO_DEPTH = 64;
57
  parameter ADDR_WIDTH = 6;
58
 
59
input clk;
60
input [FIFO_WIDTH-1:0] dataIn;
61
output [FIFO_WIDTH-1:0] dataOut;
62
input writeEn;
63
input readEn;
64
input [ADDR_WIDTH-1:0] addrIn;
65
input [ADDR_WIDTH-1:0] addrOut;
66
 
67
wire clk;
68
wire [FIFO_WIDTH-1:0] dataIn;
69
reg [FIFO_WIDTH-1:0] dataOut;
70
wire writeEn;
71
wire readEn;
72
wire [ADDR_WIDTH-1:0] addrIn;
73
wire [ADDR_WIDTH-1:0] addrOut;
74
 
75
reg [FIFO_WIDTH-1:0] buffer [0:FIFO_DEPTH-1];
76
 
77
// synchronous read. Introduces one clock cycle delay
78
always @(posedge clk) begin
79
  dataOut <= buffer[addrOut];
80
end
81
 
82
// synchronous write
83
always @(posedge clk) begin
84
  if (writeEn == 1'b1)
85
    buffer[addrIn] <= dataIn;
86
end
87
 
88
 
89
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.