OpenCores
URL https://opencores.org/ocsvn/usbhostslave/usbhostslave/trunk

Subversion Repositories usbhostslave

[/] [usbhostslave/] [tags/] [rel_00_04_alpha/] [RTL/] [buffers/] [RxFifoBI.v] - Blame information for rev 43

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sfielding
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// RxfifoBI.v                                                   ////
4
////                                                              ////
5
//// This file is part of the usbhostslave opencores effort.
6
//// <http://www.opencores.org/cores//>                           ////
7
////                                                              ////
8
//// Module Description:                                          ////
9
//// 
10
////                                                              ////
11
//// To Do:                                                       ////
12
//// 
13
////                                                              ////
14
//// Author(s):                                                   ////
15
//// - Steve Fielding, sfielding@base2designs.com                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG          ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE. See the GNU Lesser General Public License for more  ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from <http://www.opencores.org/lgpl.shtml>                   ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44 5 sfielding
// $Id: RxFifoBI.v,v 1.2 2004-12-18 14:36:06 sfielding Exp $
45 2 sfielding
//
46
// CVS Revision History
47
//
48
// $Log: not supported by cvs2svn $
49 5 sfielding
// Revision 1.1.1.1  2004/10/11 04:00:51  sfielding
50
// Created
51 2 sfielding
//
52 5 sfielding
//
53 2 sfielding
 
54
`include "wishBoneBus_h.v"
55
 
56
module RxfifoBI (
57
  address,
58
  writeEn,
59
  strobe_i,
60
  clk,
61
  rst,
62
  fifoSelect,
63
  fifoDataIn,
64
  busDataIn,
65
  busDataOut,
66
  fifoREn,
67
  fifoEmpty,
68
  forceEmpty,
69
  numElementsInFifo
70
  );
71
input [2:0] address;
72
input writeEn;
73
input strobe_i;
74
input clk;
75
input rst;
76
input [7:0] fifoDataIn;
77
input [7:0] busDataIn;
78
output [7:0] busDataOut;
79
output fifoREn;
80
input fifoEmpty;
81
output forceEmpty;
82
input [15:0] numElementsInFifo;
83
input fifoSelect;
84
 
85
 
86
wire [2:0] address;
87
wire writeEn;
88
wire strobe_i;
89
wire clk;
90
wire rst;
91
wire [7:0] fifoDataIn;
92
wire [7:0] busDataIn;
93
reg [7:0] busDataOut;
94
reg fifoREn;
95
wire fifoEmpty;
96
reg forceEmpty;
97
wire [15:0] numElementsInFifo;
98
wire fifoSelect;
99
 
100
 
101
//sync write
102
always @(posedge clk)
103
begin
104 5 sfielding
  if (writeEn == 1'b1 && fifoSelect == 1'b1 &&
105 2 sfielding
  address == `FIFO_CONTROL_REG && strobe_i == 1'b1 && busDataIn[0] == 1'b1)
106
    forceEmpty <= 1'b1;
107
  else
108
    forceEmpty <= 1'b0;
109
end
110
 
111
 
112
// async read mux
113
always @(address or fifoDataIn or numElementsInFifo or fifoEmpty)
114
begin
115 5 sfielding
  case (address)
116 2 sfielding
      `FIFO_DATA_REG : busDataOut <= fifoDataIn;
117
      `FIFO_STATUS_REG : busDataOut <= {7'b0000000, fifoEmpty};
118
      `FIFO_DATA_COUNT_MSB : busDataOut <= numElementsInFifo[15:8];
119
      `FIFO_DATA_COUNT_LSB : busDataOut <= numElementsInFifo[7:0];
120
      default: busDataOut <= 8'h00;
121 5 sfielding
  endcase
122 2 sfielding
end
123
 
124
//generate fifo read strobe
125
always @(address or writeEn or strobe_i or fifoSelect) begin
126
  if (address == `FIFO_DATA_REG &&   writeEn == 1'b0 &&
127
  strobe_i == 1'b1 &&   fifoSelect == 1'b1)
128
    fifoREn <= 1'b1;
129
  else
130
    fifoREn <= 1'b0;
131
end
132
 
133
 
134
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.