OpenCores
URL https://opencores.org/ocsvn/usbhostslave/usbhostslave/trunk

Subversion Repositories usbhostslave

[/] [usbhostslave/] [tags/] [rel_00_04_alpha/] [RTL/] [buffers/] [simFifoMem.v] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sfielding
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// simFifoMem.v                                                 ////
4
////                                                              ////
5
//// This file is part of the usbhostslave opencores effort.
6
//// <http://www.opencores.org/cores//>                           ////
7
////                                                              ////
8
//// Module Description:                                          ////
9
//// 
10
////                                                              ////
11
//// To Do:                                                       ////
12
//// 
13
////                                                              ////
14
//// Author(s):                                                   ////
15
//// - Steve Fielding, sfielding@base2designs.com                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG          ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE. See the GNU Lesser General Public License for more  ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from <http://www.opencores.org/lgpl.shtml>                   ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44 5 sfielding
// $Id: simFifoMem.v,v 1.2 2004-12-18 14:36:06 sfielding Exp $
45 2 sfielding
//
46
// CVS Revision History
47
//
48
// $Log: not supported by cvs2svn $
49 5 sfielding
// Revision 1.1.1.1  2004/10/11 04:00:51  sfielding
50
// Created
51 2 sfielding
//
52 5 sfielding
//
53 2 sfielding
 
54
`timescale 1ns / 1ps
55
 
56 5 sfielding
module simFifoMem(  addrIn, addrOut, clk, dataIn, writeEn, readEn, dataOut);
57 2 sfielding
  //FIFO_DEPTH = ADDR_WIDTH^2
58
  parameter FIFO_WIDTH = 8;
59 5 sfielding
  parameter FIFO_DEPTH = 64;
60 2 sfielding
  parameter ADDR_WIDTH = 6;
61
 
62
input clk;
63
input [FIFO_WIDTH-1:0] dataIn;
64
output [FIFO_WIDTH-1:0] dataOut;
65
input writeEn;
66
input readEn;
67
input [ADDR_WIDTH-1:0] addrIn;
68
input [ADDR_WIDTH-1:0] addrOut;
69
 
70
wire clk;
71
wire [FIFO_WIDTH-1:0] dataIn;
72
reg [FIFO_WIDTH-1:0] dataOut;
73
wire writeEn;
74
wire readEn;
75
wire [ADDR_WIDTH-1:0] addrIn;
76
wire [ADDR_WIDTH-1:0] addrOut;
77
 
78
reg [FIFO_WIDTH-1:0] buffer [0:FIFO_DEPTH-1];
79
 
80
// synchronous read. Introduces one clock cycle delay
81
always @(posedge clk) begin
82
  dataOut <= buffer[addrOut];
83
end
84
 
85
// synchronous write
86
always @(posedge clk) begin
87
  if (writeEn == 1'b1)
88
    buffer[addrIn] <= dataIn;
89
end
90
 
91
 
92
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.