OpenCores
URL https://opencores.org/ocsvn/usbhostslave/usbhostslave/trunk

Subversion Repositories usbhostslave

[/] [usbhostslave/] [tags/] [rel_01_01/] [RTL/] [buffers/] [TxFifoBI.v] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sfielding
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// TxfifoBI.v                                                   ////
4
////                                                              ////
5
//// This file is part of the usbhostslave opencores effort.
6
//// <http://www.opencores.org/cores//>                           ////
7
////                                                              ////
8
//// Module Description:                                          ////
9
//// 
10
////                                                              ////
11
//// To Do:                                                       ////
12
//// 
13
////                                                              ////
14
//// Author(s):                                                   ////
15
//// - Steve Fielding, sfielding@base2designs.com                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG          ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE. See the GNU Lesser General Public License for more  ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from <http://www.opencores.org/lgpl.shtml>                   ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
`include "wishBoneBus_h.v"
45
 
46
module TxfifoBI (
47
  address, writeEn, strobe_i,
48
  clk, rst, fifoSelect,
49
  busDataIn,
50
  busDataOut,
51
  fifoWEn,
52
  fifoFull,
53
  forceEmpty,
54
  numElementsInFifo
55
  );
56
input [2:0] address;
57
input writeEn;
58
input strobe_i;
59
input clk;
60
input rst;
61
input [7:0] busDataIn;
62
output [7:0] busDataOut;
63
output fifoWEn;
64
input fifoFull;
65
output forceEmpty;
66
input [15:0] numElementsInFifo;
67
input fifoSelect;
68
 
69
 
70
wire [2:0] address;
71
wire writeEn;
72
wire strobe_i;
73
wire clk;
74
wire rst;
75
wire [7:0] busDataIn;
76
reg [7:0] busDataOut;
77
reg fifoWEn;
78
wire fifoFull;
79
reg forceEmpty;
80
wire [15:0] numElementsInFifo;
81
wire fifoSelect;
82
 
83
 
84
//sync write
85
always @(posedge clk)
86
begin
87 5 sfielding
  if (writeEn == 1'b1 && fifoSelect == 1'b1 &&
88 2 sfielding
  address == `FIFO_CONTROL_REG && strobe_i == 1'b1 && busDataIn[0] == 1'b1)
89
    forceEmpty <= 1'b1;
90
  else
91
    forceEmpty <= 1'b0;
92
end
93
 
94
 
95
// async read mux
96
always @(address or fifoFull or numElementsInFifo)
97
begin
98 5 sfielding
  case (address)
99 2 sfielding
      `FIFO_STATUS_REG : busDataOut <= {7'b0000000, fifoFull};
100
      `FIFO_DATA_COUNT_MSB : busDataOut <= numElementsInFifo[15:8];
101
      `FIFO_DATA_COUNT_LSB : busDataOut <= numElementsInFifo[7:0];
102
      default: busDataOut <= 8'h00;
103 5 sfielding
  endcase
104 2 sfielding
end
105
 
106
//generate fifo write strobe
107
always @(address or writeEn or strobe_i or fifoSelect or busDataIn) begin
108
  if (address == `FIFO_DATA_REG &&   writeEn == 1'b1 &&
109
  strobe_i == 1'b1 &&   fifoSelect == 1'b1)
110
    fifoWEn <= 1'b1;
111
  else
112
    fifoWEn <= 1'b0;
113
end
114
 
115
 
116
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.