OpenCores
URL https://opencores.org/ocsvn/usbhostslave/usbhostslave/trunk

Subversion Repositories usbhostslave

[/] [usbhostslave/] [trunk/] [RTL/] [wrapper/] [usbHostSlave.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sfielding
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// usbHostSlave.v                                               ////
4
////                                                              ////
5
//// This file is part of the usbhostslave opencores effort.
6
//// <http://www.opencores.org/cores//>                           ////
7
////                                                              ////
8
//// Module Description:                                          ////
9
////   Top level module
10
////                                                              ////
11
//// To Do:                                                       ////
12
//// 
13
////                                                              ////
14
//// Author(s):                                                   ////
15
//// - Steve Fielding, sfielding@base2designs.com                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG          ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE. See the GNU Lesser General Public License for more  ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from <http://www.opencores.org/lgpl.shtml>                   ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// $Id: usbHostSlave.v,v 1.1.1.1 2004-10-11 04:01:11 sfielding Exp $
45
//
46
// CVS Revision History
47
//
48
// $Log: not supported by cvs2svn $
49
//
50
 
51
module usbHostSlave(
52
        clk,
53
  rst,
54
  address_i,
55
  data_i,
56
  data_o,
57
  writeEn,
58
  strobe_i,
59
  ack_o,
60
  hostSOFSentIntOut,
61
  hostConnEventIntOut,
62
  hostResumeIntOut,
63
  hostTransDoneIntOut,
64
  slaveNAKSentIntOut,
65
  slaveSOFRxedIntOut,
66
  slaveResetEventIntOut,
67
  slaveResumeIntOut,
68
  slaveTransDoneIntOut,
69
        USBWireDataIn,
70
        USBWireDataInTick,
71
  USBWireDataOut,
72
  USBWireDataOutTick,
73
        USBWireCtrlOut
74
         );
75
        parameter HOST_FIFO_DEPTH = 64; //HOST_FIFO_DEPTH = HOST_ADDR_WIDTH^2
76
  parameter HOST_FIFO_ADDR_WIDTH = 6;
77
        parameter EP0_FIFO_DEPTH = 64;
78
  parameter EP0_FIFO_ADDR_WIDTH = 6;
79
        parameter EP1_FIFO_DEPTH = 64;
80
  parameter EP1_FIFO_ADDR_WIDTH = 6;
81
        parameter EP2_FIFO_DEPTH = 64;
82
  parameter EP2_FIFO_ADDR_WIDTH = 6;
83
        parameter EP3_FIFO_DEPTH = 64;
84
  parameter EP3_FIFO_ADDR_WIDTH = 6;
85
 
86
input clk;
87
input rst;
88
input [7:0] address_i;
89
input [7:0] data_i;
90
output [7:0] data_o;
91
input writeEn;
92
input strobe_i;
93
output ack_o;
94
output hostSOFSentIntOut;
95
output hostConnEventIntOut;
96
output hostResumeIntOut;
97
output hostTransDoneIntOut;
98
output slaveSOFRxedIntOut;
99
output slaveResetEventIntOut;
100
output slaveResumeIntOut;
101
output slaveTransDoneIntOut;
102
output slaveNAKSentIntOut;
103
input [1:0] USBWireDataIn;
104
output [1:0] USBWireDataOut;
105
output USBWireDataOutTick;
106
output USBWireDataInTick;
107
output USBWireCtrlOut;
108
 
109
wire clk;
110
wire rst;
111
wire [7:0] address_i;
112
wire [7:0] data_i;
113
wire [7:0] data_o;
114
wire writeEn;
115
wire strobe_i;
116
wire ack_o;
117
wire hostSOFSentIntOut;
118
wire hostConnEventIntOut;
119
wire hostResumeIntOut;
120
wire hostTransDoneIntOut;
121
wire slaveSOFRxedIntOut;
122
wire slaveResetEventIntOut;
123
wire slaveResumeIntOut;
124
wire slaveTransDoneIntOut;
125
wire slaveNAKSentIntOut;
126
wire [1:0] USBWireDataIn;
127
wire [1:0] USBWireDataOut;
128
wire USBWireDataOutTick;
129
wire USBWireDataInTick;
130
wire USBWireCtrlOut;
131
 
132
//internal wiring
133
wire hostControlSel;
134
wire slaveControlSel;
135
wire hostRxFifoSel;
136
wire hostTxFifoSel;
137
wire hostSlaveMuxSel;
138
wire [7:0] dataFromHostControl;
139
wire [7:0] dataFromSlaveControl;
140
wire [7:0] dataFromHostRxFifo;
141
wire [7:0] dataFromHostTxFifo;
142
wire [7:0] dataFromHostSlaveMux;
143
wire hostTxFifoRE;
144
wire [7:0] hostTxFifoData;
145
wire hostTxFifoEmpty;
146
wire hostRxFifoWE;
147
wire [7:0] hostRxFifoData;
148
wire hostRxFifoFull;
149
wire [7:0] RxCtrlOut;
150
wire [7:0] RxDataFromSIE;
151
wire RxDataOutWEn;
152
wire fullSpeedBitRateFromHost;
153
wire fullSpeedBitRateFromSlave;
154
wire fullSpeedPolarityFromHost;
155
wire fullSpeedPolarityFromSlave;
156
wire SIEPortWEnFromHost;
157
wire SIEPortWEnFromSlave;
158
wire SIEPortTxRdy;
159
wire [7:0] SIEPortDataInFromHost;
160
wire [7:0] SIEPortDataInFromSlave;
161
wire [7:0] SIEPortCtrlInFromHost;
162
wire [7:0] SIEPortCtrlInFromSlave;
163
wire [1:0] connectState;
164
wire resumeDetected;
165
wire [7:0] SIEPortDataInToSIE;
166
wire SIEPortWEnToSIE;
167
wire [7:0] SIEPortCtrlInToSIE;
168
wire fullSpeedPolarityToSIE;
169
wire fullSpeedBitRateToSIE;
170
wire noActivityTimeOut;
171
wire TxFifoEP0REn;
172
wire TxFifoEP1REn;
173
wire TxFifoEP2REn;
174
wire TxFifoEP3REn;
175
wire [7:0] TxFifoEP0Data;
176
wire [7:0] TxFifoEP1Data;
177
wire [7:0] TxFifoEP2Data;
178
wire [7:0] TxFifoEP3Data;
179
wire TxFifoEP0Empty;
180
wire TxFifoEP1Empty;
181
wire TxFifoEP2Empty;
182
wire TxFifoEP3Empty;
183
wire RxFifoEP0WEn;
184
wire RxFifoEP1WEn;
185
wire RxFifoEP2WEn;
186
wire RxFifoEP3WEn;
187
wire RxFifoEP0Full;
188
wire RxFifoEP1Full;
189
wire RxFifoEP2Full;
190
wire RxFifoEP3Full;
191
wire [7:0] slaveRxFifoData;
192
wire [7:0] dataFromEP0RxFifo;
193
wire [7:0] dataFromEP1RxFifo;
194
wire [7:0] dataFromEP2RxFifo;
195
wire [7:0] dataFromEP3RxFifo;
196
wire [7:0] dataFromEP0TxFifo;
197
wire [7:0] dataFromEP1TxFifo;
198
wire [7:0] dataFromEP2TxFifo;
199
wire [7:0] dataFromEP3TxFifo;
200
wire slaveEP0RxFifoSel;
201
wire slaveEP1RxFifoSel;
202
wire slaveEP2RxFifoSel;
203
wire slaveEP3RxFifoSel;
204
wire slaveEP0TxFifoSel;
205
wire slaveEP1TxFifoSel;
206
wire slaveEP2TxFifoSel;
207
wire slaveEP3TxFifoSel;
208
 
209
usbHostControl u_usbHostControl(
210
  .clk(clk),
211
  .rst(rst),
212
        .TxFifoRE(hostTxFifoRE),
213
  .TxFifoData(hostTxFifoData),
214
  .TxFifoEmpty(hostTxFifoEmpty),
215
        .RxFifoWE(hostRxFifoWE),
216
  .RxFifoData(hostRxFifoData),
217
  .RxFifoFull(hostRxFifoFull),
218
        .RxByteStatus(RxCtrlOut),
219
  .RxData(RxDataFromSIE),
220
  .RxDataValid(RxDataOutWEn),
221
        .SIERxTimeOut(noActivityTimeOut),
222
        .fullSpeedRate(fullSpeedBitRateFromHost),
223
  .fullSpeedPol(fullSpeedPolarityFromHost),
224
        .HCTxPortEn(SIEPortWEnFromHost),
225
  .HCTxPortRdy(SIEPortTxRdy),
226
        .HCTxPortData(SIEPortDataInFromHost),
227
  .HCTxPortCtrl(SIEPortCtrlInFromHost),
228
        .connectStateIn(connectState),
229
        .resumeDetectedIn(resumeDetected),
230
  .busAddress(address_i[3:0]),
231
  .busDataIn(data_i),
232
  .busDataOut(dataFromHostControl),
233
  .busWriteEn(writeEn),
234
  .busStrobe_i(strobe_i),
235
        .SOFSentIntOut(hostSOFSentIntOut),
236
  .connEventIntOut(hostConnEventIntOut),
237
  .resumeIntOut(hostResumeIntOut),
238
  .transDoneIntOut(hostTransDoneIntOut),
239
  .hostControlSelect(hostControlSel) );
240
 
241
 
242
usbSlaveControl u_usbSlaveControl(
243
  .clk(clk),
244
  .rst(rst),
245
        .RxByteStatus(RxCtrlOut),
246
  .RxData(RxDataFromSIE),
247
  .RxDataValid(RxDataOutWEn),
248
        .SIERxTimeOut(noActivityTimeOut),
249
  .RxFifoData(slaveRxFifoData),
250
        .fullSpeedRate(fullSpeedBitRateFromSlave),
251
  .fullSpeedPol(fullSpeedPolarityFromSlave),
252
        .SCTxPortEn(SIEPortWEnFromSlave),
253
  .SCTxPortRdy(SIEPortTxRdy),
254
        .SCTxPortData(SIEPortDataInFromSlave),
255
  .SCTxPortCtrl(SIEPortCtrlInFromSlave),
256
        .connectStateIn(connectState),
257
        .resumeDetectedIn(resumeDetected),
258
  .busAddress(address_i[4:0]),
259
  .busDataIn(data_i),
260
  .busDataOut(dataFromSlaveControl),
261
  .busWriteEn(writeEn),
262
  .busStrobe_i(strobe_i),
263
        .SOFRxedIntOut(slaveSOFRxedIntOut),
264
  .resetEventIntOut(slaveResetEventIntOut),
265
  .resumeIntOut(slaveResumeIntOut),
266
  .transDoneIntOut(slaveTransDoneIntOut),
267
  .NAKSentIntOut(slaveNAKSentIntOut),
268
  .slaveControlSelect(slaveControlSel),
269
  .TxFifoEP0REn(TxFifoEP0REn),
270
  .TxFifoEP1REn(TxFifoEP1REn),
271
  .TxFifoEP2REn(TxFifoEP2REn),
272
  .TxFifoEP3REn(TxFifoEP3REn),
273
  .TxFifoEP0Data(TxFifoEP0Data),
274
  .TxFifoEP1Data(TxFifoEP1Data),
275
  .TxFifoEP2Data(TxFifoEP2Data),
276
  .TxFifoEP3Data(TxFifoEP3Data),
277
  .TxFifoEP0Empty(TxFifoEP0Empty),
278
  .TxFifoEP1Empty(TxFifoEP1Empty),
279
  .TxFifoEP2Empty(TxFifoEP2Empty),
280
  .TxFifoEP3Empty(TxFifoEP3Empty),
281
  .RxFifoEP0WEn(RxFifoEP0WEn),
282
  .RxFifoEP1WEn(RxFifoEP1WEn),
283
  .RxFifoEP2WEn(RxFifoEP2WEn),
284
  .RxFifoEP3WEn(RxFifoEP3WEn),
285
  .RxFifoEP0Full(RxFifoEP0Full),
286
  .RxFifoEP1Full(RxFifoEP1Full),
287
  .RxFifoEP2Full(RxFifoEP2Full),
288
  .RxFifoEP3Full(RxFifoEP3Full)
289
  );
290
 
291
wishBoneBI u_wishBoneBI (
292
  .address(address_i),
293
  .dataIn(data_i),
294
  .dataOut(data_o),
295
  .writeEn(writeEn),
296
  .strobe_i(strobe_i),
297
  .ack_o(ack_o),
298
  .clk(clk),
299
  .rst(rst),
300
        .hostControlSel(hostControlSel),
301
  .hostRxFifoSel(hostRxFifoSel),
302
  .hostTxFifoSel(hostTxFifoSel),
303
  .slaveControlSel(slaveControlSel),
304
  .slaveEP0RxFifoSel(slaveEP0RxFifoSel),
305
  .slaveEP1RxFifoSel(slaveEP1RxFifoSel),
306
  .slaveEP2RxFifoSel(slaveEP2RxFifoSel),
307
  .slaveEP3RxFifoSel(slaveEP3RxFifoSel),
308
  .slaveEP0TxFifoSel(slaveEP0TxFifoSel),
309
  .slaveEP1TxFifoSel(slaveEP1TxFifoSel),
310
  .slaveEP2TxFifoSel(slaveEP2TxFifoSel),
311
  .slaveEP3TxFifoSel(slaveEP3TxFifoSel),
312
  .hostSlaveMuxSel(hostSlaveMuxSel),
313
  .dataFromHostControl(dataFromHostControl),
314
  .dataFromHostRxFifo(dataFromHostRxFifo),
315
  .dataFromHostTxFifo(dataFromHostTxFifo),
316
  .dataFromSlaveControl(dataFromSlaveControl),
317
  .dataFromEP0RxFifo(dataFromEP0RxFifo),
318
  .dataFromEP1RxFifo(dataFromEP1RxFifo),
319
  .dataFromEP2RxFifo(dataFromEP2RxFifo),
320
  .dataFromEP3RxFifo(dataFromEP3RxFifo),
321
  .dataFromEP0TxFifo(dataFromEP0TxFifo),
322
  .dataFromEP1TxFifo(dataFromEP1TxFifo),
323
  .dataFromEP2TxFifo(dataFromEP2TxFifo),
324
  .dataFromEP3TxFifo(dataFromEP3TxFifo),
325
  .dataFromHostSlaveMux(dataFromHostSlaveMux)
326
         );
327
 
328
hostSlaveMux u_hostSlaveMux(
329
        .SIEPortCtrlInToSIE(SIEPortCtrlInToSIE),
330
        .SIEPortCtrlInFromHost(SIEPortCtrlInFromHost),
331
        .SIEPortCtrlInFromSlave(SIEPortCtrlInFromSlave),
332
        .SIEPortDataInToSIE(SIEPortDataInToSIE),
333
        .SIEPortDataInFromHost(SIEPortDataInFromHost),
334
        .SIEPortDataInFromSlave(SIEPortDataInFromSlave),
335
        .SIEPortWEnToSIE(SIEPortWEnToSIE),
336
        .SIEPortWEnFromHost(SIEPortWEnFromHost),
337
        .SIEPortWEnFromSlave(SIEPortWEnFromSlave),
338
        .fullSpeedPolarityToSIE(fullSpeedPolarityToSIE),
339
        .fullSpeedPolarityFromHost(fullSpeedPolarityFromHost),
340
        .fullSpeedPolarityFromSlave(fullSpeedPolarityFromSlave),
341
        .fullSpeedBitRateToSIE(fullSpeedBitRateToSIE),
342
        .fullSpeedBitRateFromHost(fullSpeedBitRateFromHost),
343
        .fullSpeedBitRateFromSlave(fullSpeedBitRateFromSlave),
344
  .dataIn(data_i),
345
  .dataOut(dataFromHostSlaveMux),
346
  .writeEn(writeEn),
347
  .strobe_i(strobe_i),
348
  .clk(clk),
349
  .rst(rst),
350
  .hostSlaveMuxSel(hostSlaveMuxSel)  );
351
 
352
usbSerialInterfaceEngine u_usbSerialInterfaceEngine(
353
  .clk(clk),
354
  .rst(rst),
355
        .USBWireDataIn(USBWireDataIn),
356
        .USBWireDataOut(USBWireDataOut),
357
        .USBWireDataInTick(USBWireDataInTick),
358
        .USBWireDataOutTick(USBWireDataOutTick),
359
        .USBWireCtrlOut(USBWireCtrlOut),
360
        .connectState(connectState),
361
        .resumeDetected(resumeDetected),
362
        .RxCtrlOut(RxCtrlOut),
363
        .RxDataOutWEn(RxDataOutWEn),
364
        .RxDataOut(RxDataFromSIE),
365
        .SIEPortCtrlIn(SIEPortCtrlInToSIE),
366
        .SIEPortDataIn(SIEPortDataInToSIE),
367
        .SIEPortTxRdy(SIEPortTxRdy),
368
        .SIEPortWEn(SIEPortWEnToSIE),
369
        .fullSpeedPolarity(fullSpeedPolarityToSIE),
370
        .fullSpeedBitRate(fullSpeedBitRateToSIE),
371
  .noActivityTimeOut(noActivityTimeOut)
372
);
373
 
374
//---Host fifos
375
TxFifo #(HOST_FIFO_DEPTH, HOST_FIFO_ADDR_WIDTH) HostTxFifo (
376
  .clk(clk),
377
  .rst(rst),
378
  .fifoREn(hostTxFifoRE),
379
  .fifoEmpty(hostTxFifoEmpty),
380
  .busAddress(address_i[2:0]),
381
  .busWriteEn(writeEn),
382
  .busStrobe_i(strobe_i),
383
  .busFifoSelect(hostTxFifoSel),
384
  .busDataIn(data_i),
385
  .busDataOut(dataFromHostTxFifo),
386
  .fifoDataOut(hostTxFifoData) );
387
 
388
 
389
RxFifo #(HOST_FIFO_DEPTH, HOST_FIFO_ADDR_WIDTH) HostRxFifo(
390
  .clk(clk),
391
  .rst(rst),
392
  .fifoWEn(hostRxFifoWE),
393
  .fifoFull(hostRxFifoFull),
394
  .busAddress(address_i[2:0]),
395
  .busWriteEn(writeEn),
396
  .busStrobe_i(strobe_i),
397
  .busFifoSelect(hostRxFifoSel),
398
  .busDataIn(data_i),
399
  .busDataOut(dataFromHostRxFifo),
400
  .fifoDataIn(hostRxFifoData)  );
401
 
402
//---Slave fifos
403
 
404
TxFifo #(EP0_FIFO_DEPTH, EP0_FIFO_ADDR_WIDTH) EP0TxFifo (
405
  .clk(clk),
406
  .rst(rst),
407
  .fifoREn(TxFifoEP0REn),
408
  .fifoEmpty(TxFifoEP0Empty),
409
  .busAddress(address_i[2:0]),
410
  .busWriteEn(writeEn),
411
  .busStrobe_i(strobe_i),
412
  .busFifoSelect(slaveEP0TxFifoSel),
413
  .busDataIn(data_i),
414
  .busDataOut(dataFromEP0TxFifo),
415
  .fifoDataOut(TxFifoEP0Data) );
416
 
417
TxFifo #(EP1_FIFO_DEPTH, EP1_FIFO_ADDR_WIDTH) EP1TxFifo (
418
  .clk(clk),
419
  .rst(rst),
420
  .fifoREn(TxFifoEP1REn),
421
  .fifoEmpty(TxFifoEP1Empty),
422
  .busAddress(address_i[2:0]),
423
  .busWriteEn(writeEn),
424
  .busStrobe_i(strobe_i),
425
  .busFifoSelect(slaveEP1TxFifoSel),
426
  .busDataIn(data_i),
427
  .busDataOut(dataFromEP1TxFifo),
428
  .fifoDataOut(TxFifoEP1Data) );
429
 
430
  TxFifo #(EP2_FIFO_DEPTH, EP2_FIFO_ADDR_WIDTH) EP2TxFifo (
431
  .clk(clk),
432
  .rst(rst),
433
  .fifoREn(TxFifoEP2REn),
434
  .fifoEmpty(TxFifoEP2Empty),
435
  .busAddress(address_i[2:0]),
436
  .busWriteEn(writeEn),
437
  .busStrobe_i(strobe_i),
438
  .busFifoSelect(slaveEP2TxFifoSel),
439
  .busDataIn(data_i),
440
  .busDataOut(dataFromEP2TxFifo),
441
  .fifoDataOut(TxFifoEP2Data) );
442
 
443
  TxFifo #(EP3_FIFO_DEPTH, EP3_FIFO_ADDR_WIDTH) EP3TxFifo (
444
  .clk(clk),
445
  .rst(rst),
446
  .fifoREn(TxFifoEP3REn),
447
  .fifoEmpty(TxFifoEP3Empty),
448
  .busAddress(address_i[2:0]),
449
  .busWriteEn(writeEn),
450
  .busStrobe_i(strobe_i),
451
  .busFifoSelect(slaveEP3TxFifoSel),
452
  .busDataIn(data_i),
453
  .busDataOut(dataFromEP3TxFifo),
454
  .fifoDataOut(TxFifoEP3Data) );
455
 
456
RxFifo #(EP0_FIFO_DEPTH, EP0_FIFO_ADDR_WIDTH) EP0RxFifo(
457
  .clk(clk),
458
  .rst(rst),
459
  .fifoWEn(RxFifoEP0WEn),
460
  .fifoFull(RxFifoEP0Full),
461
  .busAddress(address_i[2:0]),
462
  .busWriteEn(writeEn),
463
  .busStrobe_i(strobe_i),
464
  .busFifoSelect(slaveEP0RxFifoSel),
465
  .busDataIn(data_i),
466
  .busDataOut(dataFromEP0RxFifo),
467
  .fifoDataIn(slaveRxFifoData)  );
468
 
469
RxFifo #(EP1_FIFO_DEPTH, EP1_FIFO_ADDR_WIDTH) EP1RxFifo(
470
  .clk(clk),
471
  .rst(rst),
472
  .fifoWEn(RxFifoEP1WEn),
473
  .fifoFull(RxFifoEP1Full),
474
  .busAddress(address_i[2:0]),
475
  .busWriteEn(writeEn),
476
  .busStrobe_i(strobe_i),
477
  .busFifoSelect(slaveEP1RxFifoSel),
478
  .busDataIn(data_i),
479
  .busDataOut(dataFromEP1RxFifo),
480
  .fifoDataIn(slaveRxFifoData)  );
481
 
482
RxFifo #(EP2_FIFO_DEPTH, EP2_FIFO_ADDR_WIDTH) EP2RxFifo(
483
  .clk(clk),
484
  .rst(rst),
485
  .fifoWEn(RxFifoEP2WEn),
486
  .fifoFull(RxFifoEP2Full),
487
  .busAddress(address_i[2:0]),
488
  .busWriteEn(writeEn),
489
  .busStrobe_i(strobe_i),
490
  .busFifoSelect(slaveEP2RxFifoSel),
491
  .busDataIn(data_i),
492
  .busDataOut(dataFromEP2RxFifo),
493
  .fifoDataIn(slaveRxFifoData)  );
494
 
495
RxFifo #(EP3_FIFO_DEPTH, EP3_FIFO_ADDR_WIDTH) EP3RxFifo(
496
  .clk(clk),
497
  .rst(rst),
498
  .fifoWEn(RxFifoEP3WEn),
499
  .fifoFull(RxFifoEP3Full),
500
  .busAddress(address_i[2:0]),
501
  .busWriteEn(writeEn),
502
  .busStrobe_i(strobe_i),
503
  .busFifoSelect(slaveEP3RxFifoSel),
504
  .busDataIn(data_i),
505
  .busDataOut(dataFromEP3RxFifo),
506
  .fifoDataIn(slaveRxFifoData)  );
507
 
508
endmodule
509
 
510
 
511
 
512
 
513
 
514
 
515
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.