OpenCores
URL https://opencores.org/ocsvn/usbhostslave/usbhostslave/trunk

Subversion Repositories usbhostslave

[/] [usbhostslave/] [trunk/] [usbDevice/] [syn/] [altera/] [usbDeviceAlteraTop.qsf] - Blame information for rev 43

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 37 sfielding
# Copyright (C) 1991-2006 Altera Corporation
2
# Your use of Altera Corporation's design tools, logic functions
3
# and other software and tools, and its AMPP partner logic
4
# functions, and any output files from any of the foregoing
5
# (including device programming or simulation files), and any
6
# associated documentation or information are expressly subject
7
# to the terms and conditions of the Altera Program License
8
# Subscription Agreement, Altera MegaCore Function License
9
# Agreement, or other applicable license agreement, including,
10
# without limitation, that your use is for the sole purpose of
11
# programming logic devices manufactured by Altera and sold by
12
# Altera or its authorized distributors.  Please refer to the
13
# applicable agreement for further details.
14
 
15
 
16
# The default values for assignments are stored in the file
17
#               cyc_or12_mini_top_assignment_defaults.qdf
18
# If this file doesn't exist, and for assignments not listed, see file
19
#               assignment_defaults.qdf
20
 
21
# Altera recommends that you do not modify this file. This
22
# file is updated automatically by the Quartus II software
23
# and any changes you make may be lost or overwritten.
24
 
25
 
26
set_global_assignment -name FAMILY "Cyclone II"
27
set_global_assignment -name DEVICE EP2C20Q240C8
28
set_global_assignment -name TOP_LEVEL_ENTITY usbDeviceAlteraTop
29
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.1
30
set_global_assignment -name PROJECT_CREATION_TIME_DATE "06:48:46  JUNE 20, 2007"
31
set_global_assignment -name LAST_QUARTUS_VERSION "7.2 SP3"
32
 
33
#48MHz local oscillator
34
set_global_assignment -name FMAX_REQUIREMENT "20.83 ns" -section_id clk
35
set_global_assignment -name DUTY_CYCLE 50 -section_id clk
36
 
37
set_global_assignment -name RESERVE_PIN "AS INPUT TRI-STATED"
38
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH WEAK PULL-UP"
39
set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS ON
40
 
41
 
42
set_location_assignment PIN_30 -to clk
43
 
44
 
45
set_location_assignment PIN_97 -to mc_addr[0]
46
set_location_assignment PIN_96 -to mc_addr[1]
47
set_location_assignment PIN_90 -to mc_addr[2]
48
set_location_assignment PIN_166 -to mc_addr[3]
49
set_location_assignment PIN_165 -to mc_addr[4]
50
set_location_assignment PIN_164 -to mc_addr[5]
51
set_location_assignment PIN_162 -to mc_addr[6]
52
set_location_assignment PIN_161 -to mc_addr[7]
53
set_location_assignment PIN_159 -to mc_addr[8]
54
set_location_assignment PIN_157 -to mc_addr[9]
55
set_location_assignment PIN_100 -to mc_addr[10]
56
set_location_assignment PIN_109 -to mc_addr[11]
57
 
58
set_location_assignment PIN_106 -to mc_ba[0]
59
set_location_assignment PIN_105 -to mc_ba[1]
60
 
61
set_location_assignment PIN_113 -to mc_cas_
62
set_location_assignment PIN_156 -to mc_cke_
63
set_location_assignment PIN_155 -to sdram_clk
64
set_location_assignment PIN_110 -to sdram_cs
65
set_location_assignment PIN_116 -to mc_dqm[0]
66
set_location_assignment PIN_150 -to mc_dqm[1]
67
set_location_assignment PIN_88 -to mc_dqm[2]
68
set_location_assignment PIN_167 -to mc_dqm[3]
69
 
70
set_location_assignment PIN_111 -to mc_ras_
71
set_location_assignment PIN_114 -to mc_we_
72
 
73
set_location_assignment PIN_47 -to spiClk
74
set_location_assignment PIN_20 -to spiMasterDataOut
75
set_location_assignment PIN_44 -to spiCS_n
76
 
77 39 sfielding
#set_location_assignment PIN_18 -to usbHostOE_n
78
#set_location_assignment PIN_8 -to usbSlaveVP
79
#set_location_assignment PIN_7 -to usbSlaveVM
80
#set_location_assignment PIN_9 -to usbSlaveOE_n
81
#set_location_assignment PIN_13 -to usbDPlusPullup
82
#set_location_assignment PIN_4 -to vBusDetect
83 37 sfielding
 
84 39 sfielding
# Santa Cruz Connector
85
set_location_assignment PIN_16 -to SC_P_CLK
86
set_location_assignment PIN_15 -to SC_PCS_N
87
set_location_assignment PIN_188 -to SC_RST_N
88
set_location_assignment PIN_191 -to SC_P0
89
set_location_assignment PIN_189 -to SC_P1
90
set_location_assignment PIN_194 -to SC_P2
91
set_location_assignment PIN_192 -to SC_P3
92
set_location_assignment PIN_199 -to SC_P4
93
set_location_assignment PIN_197 -to SC_P5
94
set_location_assignment PIN_208 -to SC_P6
95
set_location_assignment PIN_203 -to SC_P7
96
set_location_assignment PIN_218 -to SC_P8
97
set_location_assignment PIN_216 -to SC_P9
98
set_location_assignment PIN_226 -to SC_P10
99
set_location_assignment PIN_223 -to SC_P11
100
set_location_assignment PIN_231 -to SC_P12
101
set_location_assignment PIN_230 -to SC_P13
102
set_location_assignment PIN_234 -to SC_P14
103
set_location_assignment PIN_233 -to SC_P15
104
set_location_assignment PIN_236 -to SC_P16
105
set_location_assignment PIN_237 -to SC_P17
106
set_location_assignment PIN_238 -to SC_P18
107
set_location_assignment PIN_5 -to SC_P19
108
set_location_assignment PIN_4 -to SC_P20
109
set_location_assignment PIN_6 -to SC_P21
110
set_location_assignment PIN_7 -to SC_P22
111
set_location_assignment PIN_8 -to SC_P23
112
set_location_assignment PIN_9 -to SC_P24
113
set_location_assignment PIN_11 -to SC_P25
114
set_location_assignment PIN_13 -to SC_P26
115
set_location_assignment PIN_14 -to SC_P27
116
set_location_assignment PIN_18 -to SC_P28
117
set_location_assignment PIN_184 -to SC_P29
118
set_location_assignment PIN_185 -to SC_P30
119
set_location_assignment PIN_186 -to SC_P31
120
set_location_assignment PIN_187 -to SC_P32
121
set_location_assignment PIN_195 -to SC_P33
122
set_location_assignment PIN_200 -to SC_P34
123
set_location_assignment PIN_214 -to SC_P35
124
set_location_assignment PIN_222 -to SC_P36
125
set_location_assignment PIN_228 -to SC_P37
126
set_location_assignment PIN_232 -to SC_P38
127
set_location_assignment PIN_235 -to SC_P39
128 37 sfielding
 
129
 
130 39 sfielding
 
131 37 sfielding
set_global_assignment -name ENABLE_SIGNALTAP ON
132
set_global_assignment -name USE_SIGNALTAP_FILE stp1.stp
133
 
134
 
135
 
136
 
137
set_global_assignment -name NUMBER_OF_PATHS_TO_REPORT 1000
138
 
139
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS OFF
140
set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER ON
141
 
142
 
143
set_global_assignment -name TIMEQUEST_DO_REPORT_TIMING ON
144
 
145
set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "PASSIVE SERIAL"
146
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
147
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
148
 
149
 
150
set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_palace
151
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
152
set_global_assignment -name PARTITION_COLOR 2147039 -section_id Top
153
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
154
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
155
set_instance_assignment -name CLOCK_SETTINGS clk -to clk
156
set_instance_assignment -name PARTITION_HIERARCHY no_file_for_top_partition -to | -section_id Top
157
 
158
set_global_assignment -name USER_LIBRARIES "..\\..\\..\\rtl\\include;..\\..\\rtl"
159
 
160
set_global_assignment -name VERILOG_FILE ../../../RTL/wrapper/usbSlave.v
161
set_global_assignment -name VERILOG_FILE ../../../RTL/slaveController/USBSlaveControlBI.v
162
set_global_assignment -name VERILOG_FILE ../../../RTL/slaveController/endpMux.v
163
set_global_assignment -name VERILOG_FILE ../../../RTL/slaveController/fifoMux.v
164
set_global_assignment -name VERILOG_FILE ../../../RTL/slaveController/sctxportarbiter.v
165
set_global_assignment -name VERILOG_FILE ../../../RTL/slaveController/slavecontroller.v
166
set_global_assignment -name VERILOG_FILE ../../../RTL/slaveController/slaveDirectcontrol.v
167
set_global_assignment -name VERILOG_FILE ../../../RTL/slaveController/slaveGetpacket.v
168
set_global_assignment -name VERILOG_FILE ../../../RTL/slaveController/slaveRxStatusMonitor.v
169
set_global_assignment -name VERILOG_FILE ../../../RTL/slaveController/slaveSendpacket.v
170
set_global_assignment -name VERILOG_FILE ../../../RTL/slaveController/usbSlaveControl.v
171
set_global_assignment -name VERILOG_FILE ../../../RTL/serialInterfaceEngine/writeUSBWireData.v
172
set_global_assignment -name VERILOG_FILE ../../../RTL/serialInterfaceEngine/lineControlUpdate.v
173
set_global_assignment -name VERILOG_FILE ../../../RTL/serialInterfaceEngine/processRxBit.v
174
set_global_assignment -name VERILOG_FILE ../../../RTL/serialInterfaceEngine/processRxByte.v
175
set_global_assignment -name VERILOG_FILE ../../../RTL/serialInterfaceEngine/processTxByte.v
176
set_global_assignment -name VERILOG_FILE ../../../RTL/serialInterfaceEngine/readUSBWireData.v
177
set_global_assignment -name VERILOG_FILE ../../../RTL/serialInterfaceEngine/siereceiver.v
178
set_global_assignment -name VERILOG_FILE ../../../RTL/serialInterfaceEngine/SIETransmitter.v
179
set_global_assignment -name VERILOG_FILE ../../../RTL/serialInterfaceEngine/updateCRC5.v
180
set_global_assignment -name VERILOG_FILE ../../../RTL/serialInterfaceEngine/updateCRC16.v
181
set_global_assignment -name VERILOG_FILE ../../../RTL/serialInterfaceEngine/usbSerialInterfaceEngine.v
182
set_global_assignment -name VERILOG_FILE ../../../RTL/serialInterfaceEngine/usbTxWireArbiter.v
183
set_global_assignment -name VERILOG_FILE ../../../RTL/hostSlaveMux/hostSlaveMuxBI.v
184
set_global_assignment -name VERILOG_FILE ../../../RTL/hostSlaveMux/hostSlaveMux.v
185
set_global_assignment -name VERILOG_FILE ../../../RTL/busInterface/wishBoneBI.v
186
set_global_assignment -name VERILOG_FILE ../../../RTL/buffers/TxFifoBI.v
187
set_global_assignment -name VERILOG_FILE ../../../RTL/buffers/dpMem_dc.v
188
set_global_assignment -name VERILOG_FILE ../../../RTL/buffers/fifoRTL.v
189
set_global_assignment -name VERILOG_FILE ../../../RTL/buffers/RxFifo.v
190
set_global_assignment -name VERILOG_FILE ../../../RTL/buffers/RxFifoBI.v
191
set_global_assignment -name VERILOG_FILE ../../../RTL/buffers/TxFifo.v
192
set_global_assignment -name VERILOG_FILE ../../RTL/wishboneArb.v
193
set_global_assignment -name VERILOG_FILE ../../RTL/checkLineState.v
194
set_global_assignment -name VERILOG_FILE ../../RTL/EP0.v
195
set_global_assignment -name VERILOG_FILE ../../RTL/EP1Mouse.v
196
set_global_assignment -name VERILOG_FILE ../../RTL/pll_48MHz.v
197
set_global_assignment -name VERILOG_FILE ../../RTL/usbDevice.v
198
set_global_assignment -name VERILOG_FILE ../../RTL/usbDevice_define.v
199
set_global_assignment -name VERILOG_FILE ../../RTL/usbDeviceAlteraTop.v
200
set_global_assignment -name VERILOG_FILE ../../RTL/usbHostSlaveReg_define.v
201
set_global_assignment -name VERILOG_FILE ../../RTL/usbROM.v
202 39 sfielding
set_global_assignment -name SDC_FILE usbDeviceAlteraTop.sdc

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.