OpenCores
URL https://opencores.org/ocsvn/v586/v586/trunk

Subversion Repositories v586

[/] [v586/] [trunk/] [board_specific_files/] [esa11/] [freq_man.vhd] - Blame information for rev 121

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 121 ultro
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.std_logic_unsigned.all;
4
use ieee.std_logic_arith.all;
5
use ieee.numeric_std.all;
6
 
7
library unisim;
8
use unisim.vcomponents.all;
9
 
10
entity freq_man is
11
port
12
 (
13
  clk_in1_p         : in     std_logic;
14
  clk_in1_n         : in     std_logic;
15
 
16
  clk_out1          : out    std_logic;
17
  clk_out2          : out    std_logic;
18
  clk_out3          : out    std_logic;
19
  locked            : out    std_logic
20
 );
21
end freq_man;
22
 
23
architecture syn of freq_man is
24
 
25
  signal clk_in1      : std_logic;
26
 
27
  signal clkfbout        : std_logic;
28
  signal clkfboutb_unused : std_logic;
29
  signal clk_int1          : std_logic;
30
  signal clkout0b_unused         : std_logic;
31
  signal clk_int2          : std_logic;
32
  signal clkout1b_unused         : std_logic;
33
  signal clk_int3          : std_logic;
34
  signal clkout2b_unused         : std_logic;
35
  signal clkout3_unused   : std_logic;
36
  signal clkout3b_unused  : std_logic;
37
  signal clkout4_unused   : std_logic;
38
  signal clkout5_unused   : std_logic;
39
  signal clkout6_unused   : std_logic;
40
 
41
  signal do_unused        : std_logic_vector(15 downto 0);
42
  signal drdy_unused      : std_logic;
43
 
44
  signal psdone_unused    : std_logic;
45
 
46
  signal clkfbstopped_unused : std_logic;
47
  signal clkinstopped_unused : std_logic;
48
 
49
begin
50
 
51
  clkin1_ibufgds : IBUFDS
52
  port map
53
   (O  => clk_in1,
54
    I  => clk_in1_p,
55
    IB => clk_in1_n);
56
 
57
  iplle : PLLE2_ADV
58
  generic map
59
   (BANDWIDTH            => "OPTIMIZED",
60
 
61
    COMPENSATION         => "ZHOLD",
62
    DIVCLK_DIVIDE        => 1,
63
    CLKFBOUT_MULT        => 8,
64
    CLKFBOUT_PHASE       => 0.000,
65
    CLKOUT0_DIVIDE       => 2,
66
    CLKOUT0_PHASE        => 0.000,
67
    CLKOUT0_DUTY_CYCLE   => 0.500,
68
    CLKOUT1_DIVIDE       => 4,
69
    CLKOUT1_PHASE        => 0.000,
70
    CLKOUT1_DUTY_CYCLE   => 0.500,
71
    CLKOUT2_DIVIDE       => 32,
72
    CLKOUT2_PHASE        => 0.000,
73
    CLKOUT2_DUTY_CYCLE   => 0.500,
74
    CLKIN1_PERIOD        => 10.0)
75
  port map
76
   (
77
    CLKFBOUT            => clkfbout,
78
    CLKOUT0             => clk_int1,
79
    CLKOUT1             => clk_int2,
80
    CLKOUT2             => clk_int3,
81
    CLKOUT3             => clkout3_unused,
82
    CLKOUT4             => clkout4_unused,
83
    CLKOUT5             => clkout5_unused,
84
 
85
    CLKFBIN             => clkfbout,
86
    CLKIN1              => clk_in1,
87
    CLKIN2              => '0',
88
 
89
    CLKINSEL            => '1',
90
 
91
    DADDR               => (others => '0'),
92
    DCLK                => '0',
93
    DEN                 => '0',
94
    DI                  => (others => '0'),
95
    DO                  => do_unused,
96
    DRDY                => drdy_unused,
97
    DWE                 => '0',
98
 
99
    LOCKED              => locked,
100
    PWRDWN              => '0',
101
    RST                 => '0');
102
 
103
  clkout1_buf : BUFG
104
  port map
105
   (O   => clk_out1,
106
    I   => clk_int1);
107
 
108
  clkout2_buf : BUFG
109
  port map
110
   (O   => clk_out2,
111
    I   => clk_int2);
112
 
113
  clkout3_buf : BUFG
114
  port map
115
   (O   => clk_out3,
116
    I   => clk_int3);
117
 
118
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.