OpenCores
URL https://opencores.org/ocsvn/v586/v586/trunk

Subversion Repositories v586

[/] [v586/] [trunk/] [board_specific_files/] [esa11/] [mig.xdc] - Blame information for rev 121

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 121 ultro
# Generated by makeXDC.ulp developed by Sven Raiser, Tuebingen, Germany
2
#
3
# Board:     ESA11-7a102t-V1.1.brd
4
# Part Name: FPGA
5
# Part pkg:  BGA484
6
# Memory chip: MT41J128M16JT125K
7
# Created:   16.11.2015 10:52:19
8
# Edited:       2016-01-03 by emu
9
 
10
set_property PACKAGE_PIN A1 [get_ports {ddr3_addr[0]}]
11
set_property PACKAGE_PIN B2 [get_ports {ddr3_addr[1]}]
12
set_property PACKAGE_PIN D2 [get_ports {ddr3_addr[2]}]
13
set_property PACKAGE_PIN H3 [get_ports {ddr3_addr[3]}]
14
set_property PACKAGE_PIN G2 [get_ports {ddr3_addr[4]}]
15
set_property PACKAGE_PIN F3 [get_ports {ddr3_addr[5]}]
16
set_property PACKAGE_PIN H2 [get_ports {ddr3_addr[6]}]
17
set_property PACKAGE_PIN B1 [get_ports {ddr3_addr[7]}]
18
set_property PACKAGE_PIN E1 [get_ports {ddr3_addr[8]}]
19
set_property PACKAGE_PIN E2 [get_ports {ddr3_addr[9]}]
20
set_property PACKAGE_PIN H5 [get_ports {ddr3_addr[10]}]
21
set_property PACKAGE_PIN D1 [get_ports {ddr3_addr[11]}]
22
set_property PACKAGE_PIN J5 [get_ports {ddr3_addr[12]}]
23
set_property PACKAGE_PIN C2 [get_ports {ddr3_addr[13]}]
24
 
25
set_property PACKAGE_PIN F1 [get_ports {ddr3_ba[0]}]
26
set_property PACKAGE_PIN J2 [get_ports {ddr3_ba[1]}]
27
set_property PACKAGE_PIN G1 [get_ports {ddr3_ba[2]}]
28
 
29
set_property PACKAGE_PIN G4 [get_ports ddr3_cas_n]
30
 
31
set_property PACKAGE_PIN K2 [get_ports {ddr3_cke[0]}]
32
set_property PACKAGE_PIN J1 [get_ports {ddr3_ck_n[0]}]
33
set_property PACKAGE_PIN K1 [get_ports {ddr3_ck_p[0]}]
34
 
35
set_property PACKAGE_PIN J6 [get_ports {ddr3_dm[0]}]
36
set_property PACKAGE_PIN N4 [get_ports {ddr3_dm[1]}]
37
 
38
set_property PACKAGE_PIN K6 [get_ports {ddr3_dq[0]}]
39
set_property PACKAGE_PIN L5 [get_ports {ddr3_dq[1]}]
40
set_property PACKAGE_PIN L3 [get_ports {ddr3_dq[2]}]
41
set_property PACKAGE_PIN L4 [get_ports {ddr3_dq[3]}]
42
set_property PACKAGE_PIN K4 [get_ports {ddr3_dq[4]}]
43
set_property PACKAGE_PIN M2 [get_ports {ddr3_dq[5]}]
44
set_property PACKAGE_PIN J4 [get_ports {ddr3_dq[6]}]
45
set_property PACKAGE_PIN K3 [get_ports {ddr3_dq[7]}]
46
 
47
set_property PACKAGE_PIN R1 [get_ports {ddr3_dq[8]}]
48
set_property PACKAGE_PIN N5 [get_ports {ddr3_dq[9]}]
49
set_property PACKAGE_PIN P1 [get_ports {ddr3_dq[10]}]
50
set_property PACKAGE_PIN P6 [get_ports {ddr3_dq[11]}]
51
set_property PACKAGE_PIN N2 [get_ports {ddr3_dq[12]}]
52
set_property PACKAGE_PIN P2 [get_ports {ddr3_dq[13]}]
53
set_property PACKAGE_PIN M5 [get_ports {ddr3_dq[14]}]
54
set_property PACKAGE_PIN M6 [get_ports {ddr3_dq[15]}]
55
 
56
set_property PACKAGE_PIN L1 [get_ports {ddr3_dqs_n[0]}]
57
set_property PACKAGE_PIN P4 [get_ports {ddr3_dqs_n[1]}]
58
set_property PACKAGE_PIN M1 [get_ports {ddr3_dqs_p[0]}]
59
set_property PACKAGE_PIN P5 [get_ports {ddr3_dqs_p[1]}]
60
set_property PACKAGE_PIN F4 [get_ports {ddr3_odt[0]}]
61
set_property PACKAGE_PIN H4 [get_ports ddr3_ras_n]
62
set_property PACKAGE_PIN L6 [get_ports ddr3_reset_n]
63
set_property PACKAGE_PIN G3 [get_ports ddr3_we_n]
64
 
65
# set_property PACKAGE_PIN N3 [get_ports VREF_DDR]
66
# set_property IOSTANDARD LVTTL [get_ports VREF_DDR]
67
# set_property DRIVE 4 [get_ports VREF_DDR]
68
# set_property PACKAGE_PIN E3 [get_ports VREF_DDR]
69
# set_property IOSTANDARD LVTTL [get_ports VREF_DDR]
70
# set_property DRIVE 4 [get_ports VREF_DDR]
71
 
72
#
73
#       eof
74
#

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.