OpenCores
URL https://opencores.org/ocsvn/v586/v586/trunk

Subversion Repositories v586

[/] [v586/] [trunk/] [board_specific_files/] [nexys4/] [TOP_SYS.v] - Blame information for rev 121

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 121 ultro
/* verilator lint_off UNUSED */
2
/* verilator lint_off CASEX */
3
/* verilator lint_off PINNOCONNECT */
4
/* verilator lint_off PINMISSING */
5
/* verilator lint_off IMPLICIT */
6
/* verilator lint_off WIDTH */
7
/* verilator lint_off UNDRIVEN */
8
 
9
// `define EMC
10
`define PSRAM
11
`define  etherlite
12
//`define MIG_ARTY7
13
 
14
module TOP_SYS(
15
clk100,rstn,gpio_in,
16
// uart
17
TXD,RXD,
18
// psram
19
extA,extDB,extWEN,extUB,extLB,extCSN,extWAIT,
20
extOE,extCLK,extADV,extCRE,
21
// spi flash
22
sdin,sdout,sdwp,sdhld,sdcs,sdreset,
23
// gpio it87xx
24
//gpioA,gpioB,
25
// ethernet
26
PhyMdc,
27
PhyMdio,
28
PhyRstn,
29
PhyCrs,
30
PhyRxErr,
31
PhyRxd,
32
PhyTxEn,
33
PhyTxd,
34
PhyClk50Mhz,
35
PhyIntn,
36
// tiny spi
37
miso,
38
mosi,
39
sclk,
40
acl_sel,
41
debug
42
);
43
 
44
input            clk100;
45
input            rstn;
46
output           TXD;
47
input      [6:0] gpio_in;
48
output           extCLK,extCRE;
49
output          extADV,extUB,extLB,extWEN,extCSN,extOE;
50
input            RXD;
51
output           sdout,sdwp,sdhld,sdcs;
52
input            sdin;
53
//inout     [7:0]  gpioA,gpioB;
54
wire     [7:0]  gpioA,gpioB;
55
input            extWAIT;
56
output    reg    sdreset;
57
output    reg    acl_sel;
58
// tiny spi
59
output mosi;
60
input miso;
61
output sclk;
62
output [11:0] debug;
63
 
64
// external mem I/F
65
inout  [15:0] extDB;
66
output [23:0] extA;
67
 
68
output PhyMdc;
69
inout PhyMdio;
70
output PhyRstn;
71
output PhyCrs;
72
input        PhyRxErr;
73
input  [1:0] PhyRxd;
74
output       PhyTxEn;
75
output [1:0] PhyTxd;
76
output  reg  PhyClk50Mhz;
77
output  reg  PhyIntn;
78
// ethernet 
79
wire PhyMdio_t;
80
wire PhyMdio_o;
81
wire [11:0] debug_int2;
82
assign debug = debug_v586;
83
 
84
// axi cpu bus
85
wire [31:0] M_AXI_AW, M_AXI_AR;
86
wire        M_AXI_AWVALID,M_AXI_ARVALID,M_AXI_WVALID,M_AXI_RREADY;
87
wire        M_AXI_AWREADY,M_AXI_ARREADY,M_AXI_WREADY,M_AXI_RVALID,M_AXI_RLAST,M_AXI_WLAST;
88
wire [31:0] M_AXI_R;
89
wire [31:0] M_AXI_W;
90
wire  [3:0] M_AXI_WSTRB;
91
wire  [1:0] M_AXI_ARBURST;
92
wire  [7:0] M_AXI_ARLEN;
93
wire  [2:0] M_AXI_ARSIZE;
94
wire  [1:0] M_AXI_AWBURST;
95
wire  [7:0] M_AXI_AWLEN;
96
wire  [2:0] M_AXI_AWSIZE;
97
 
98
// axi ram bus
99
wire [31:0] S_AXI_AW_ram, S_AXI_AR_ram;
100
wire        S_AXI_AWVALID_ram,S_AXI_ARVALID_ram,S_AXI_WVALID_ram,S_AXI_RREADY_ram;
101
wire        S_AXI_AWREADY_ram,S_AXI_ARREADY_ram,S_AXI_WREADY_ram,S_AXI_RVALID_ram,S_AXI_RLAST_ram,S_AXI_WLAST_ram;
102
wire [31:0] S_AXI_R_ram;
103
wire [31:0] S_AXI_W_ram;
104
wire  [3:0] S_AXI_WSTRB_ram;
105
wire  [1:0] S_AXI_ARBURST_ram;
106
wire  [7:0] S_AXI_ARLEN_ram;
107
wire  [2:0] S_AXI_ARSIZE_ram;
108
wire  [1:0] S_AXI_AWBURST_ram;
109
wire  [7:0] S_AXI_AWLEN_ram;
110
wire  [2:0] S_AXI_AWSIZE_ram;
111
 
112
// axi rom bus
113
wire [31:0] S_AXI_AW_rom, S_AXI_AR_rom;
114
wire        S_AXI_AWVALID_rom,S_AXI_ARVALID_rom,S_AXI_WVALID_rom,S_AXI_RREADY_rom;
115
wire        S_AXI_AWREADY_rom,S_AXI_ARREADY_rom,S_AXI_WREADY_rom,S_AXI_RVALID_rom,S_AXI_RLAST_rom,S_AXI_WLAST_rom;
116
wire [31:0] S_AXI_R_rom;
117
wire [31:0] S_AXI_W_rom;
118
wire  [3:0] S_AXI_WSTRB_rom;
119
wire  [1:0] S_AXI_ARBURST_rom;
120
wire  [7:0] S_AXI_ARLEN_rom;
121
wire  [2:0] S_AXI_ARSIZE_rom;
122
wire  [1:0] S_AXI_AWBURST_rom;
123
wire  [7:0] S_AXI_AWLEN_rom;
124
wire  [2:0] S_AXI_AWSIZE_rom;
125
 
126
// axi net bus
127
wire [31:0] S_AXI_AW_net, S_AXI_AR_net;
128
wire        S_AXI_AWVALID_net,S_AXI_ARVALID_net,S_AXI_WVALID_net,S_AXI_RREADY_net;
129
wire        S_AXI_AWREADY_net,S_AXI_ARREADY_net,S_AXI_WREADY_net,S_AXI_RVALID_net,S_AXI_RLAST_net,S_AXI_WLAST_net;
130
wire [31:0] S_AXI_R_net;
131
wire [31:0] S_AXI_W_net;
132
wire  [3:0] S_AXI_WSTRB_net;
133
wire  [1:0] S_AXI_ARBURST_net;
134
wire  [7:0] S_AXI_ARLEN_net;
135
wire  [2:0] S_AXI_ARSIZE_net;
136
wire  [1:0] S_AXI_AWBURST_net;
137
wire  [7:0] S_AXI_AWLEN_net;
138
wire  [2:0] S_AXI_AWSIZE_net;
139
 
140
// axi io bus
141
wire [31:0] M_IO_AXI_AW, M_IO_AXI_AR;
142
wire        M_IO_AXI_AWVALID,M_IO_AXI_ARVALID,M_IO_AXI_WVALID,M_IO_AXI_RREADY;
143
wire        M_IO_AXI_AWREADY,M_IO_AXI_ARREADY,M_IO_AXI_WREADY,M_IO_AXI_RVALID,M_IO_AXI_RLAST,M_IO_AXI_WLAST;
144
wire [31:0] M_IO_AXI_R;
145
wire [31:0] M_IO_AXI_W;
146
wire  [3:0] M_IO_AXI_WSTRB;
147
wire  [1:0] M_IO_AXI_ARBURST;
148
wire  [3:0] M_IO_AXI_ARLEN;
149
wire  [2:0] M_IO_AXI_ARSIZE;
150
wire  [1:0] M_IO_AXI_AWBURST;
151
wire  [7:0] M_IO_AXI_AWLEN;
152
wire  [2:0] M_IO_AXI_AWSIZE;
153
 
154
wire [15:0] extDBo,extDBt;
155
 
156
wire  [7:0] gpioA_dir,gpioB_dir,gpioA_out,gpioB_out;
157
wire [31:0] romA,romQ;
158
 
159
wire int_pic,iack;
160
wire [7:0] ivect;
161
wire        clk;
162
wire [11:0] debug_v586;
163
 
164
//PULLUP i_PULLUP ( .O(extWAIT) );  
165
 
166
//clk_wiz_v3_6 clk_wiz_v3_6 (.CLK_IN1(clk100) , .CLK_OUT1(clk) );
167
assign clk = clk100;
168
 
169
STARTUPE2 #(
170
   .PROG_USR("FALSE"),  // Activate program event security feature. Requires encrypted bitstreams.
171
   .SIM_CCLK_FREQ(0.0)  // Set the Configuration Clock Frequency(ns) for simulation.
172
)
173
STARTUPE2_inst (
174
   .CFGCLK(),       // 1-bit output: Configuration main clock output
175
   .CFGMCLK(),     // 1-bit output: Configuration internal oscillator clock output
176
   .EOS(),             // 1-bit output: Active high output signal indicating the End Of Startup.
177
   .PREQ(),           // 1-bit output: PROGRAM request to fabric output
178
   .CLK(1'b0),             // 1-bit input: User start-up clock input
179
   .GSR(1'b0),             // 1-bit input: Global Set/Reset input (GSR cannot be used for the port name)
180
   .GTS(1'b0),             // 1-bit input: Global 3-state input (GTS cannot be used for the port name)
181
   .KEYCLEARB(1'b0), // 1-bit input: Clear AES Decrypter Key input from Battery-Backed RAM (BBRAM)
182
   .PACK(1'b0),           // 1-bit input: PROGRAM acknowledge input
183
   .USRCCLKO(sdclk),   // 1-bit input: User CCLK input
184
   .USRCCLKTS(1'b0), // 1-bit input: User CCLK 3-state enable input
185
   .USRDONEO(1'b1),   // 1-bit input: User DONE pin output control
186
   .USRDONETS(1'b1)  // 1-bit input: User DONE 3-state enable output
187
);
188
 
189
v586 v586 (
190
.m00_AXI_RSTN(rstn&psram_rdy),.m00_AXI_CLK(clk),
191
// axi interface 32bit
192
.m00_AXI_AWADDR(M_AXI_AW), .m00_AXI_AWVALID(M_AXI_AWVALID), .m00_AXI_AWREADY(M_AXI_AWREADY),
193
.m00_AXI_AWBURST(M_AXI_AWBURST), .m00_AXI_AWLEN(M_AXI_AWLEN), .m00_AXI_AWSIZE(M_AXI_AWSIZE),
194
.m00_AXI_WDATA(M_AXI_W), .m00_AXI_WVALID(M_AXI_WVALID), .m00_AXI_WREADY(M_AXI_WREADY), .m00_AXI_WSTRB(M_AXI_WSTRB), .m00_AXI_WLAST(M_AXI_WLAST),
195
.m00_AXI_ARADDR(M_AXI_AR), .m00_AXI_ARVALID(M_AXI_ARVALID), .m00_AXI_ARREADY(M_AXI_ARREADY),
196
.m00_AXI_ARBURST(M_AXI_ARBURST), .m00_AXI_ARLEN(M_AXI_ARLEN), .m00_AXI_ARSIZE(M_AXI_ARSIZE),
197
.m00_AXI_RDATA(M_AXI_R), .m00_AXI_RVALID(M_AXI_RVALID), .m00_AXI_RREADY(M_AXI_RREADY), .m00_AXI_RLAST(M_AXI_RLAST),
198
.m00_AXI_BVALID(1'b1),.m00_AXI_BREADY(M_AXI_BREADY),
199
// axi io interface 32bit
200
.m01_AXI_AWADDR(M_IO_AXI_AW), .m01_AXI_AWVALID(M_IO_AXI_AWVALID), .m01_AXI_AWREADY(M_IO_AXI_AWREADY),
201
.m01_AXI_AWBURST(M_IO_AXI_AWBURST), .m01_AXI_AWLEN(M_IO_AXI_AWLEN), .m01_AXI_AWSIZE(M_IO_AXI_AWSIZE),
202
.m01_AXI_WDATA(M_IO_AXI_W), .m01_AXI_WVALID(M_IO_AXI_WVALID), .m01_AXI_WREADY(M_IO_AXI_WREADY), .m01_AXI_WSTRB(M_IO_AXI_WSTRB), .m01_AXI_WLAST(M_IO_AXI_WLAST),
203
.m01_AXI_ARADDR(M_IO_AXI_AR), .m01_AXI_ARVALID(M_IO_AXI_ARVALID), .m01_AXI_ARREADY(M_IO_AXI_ARREADY),
204
.m01_AXI_ARBURST(M_IO_AXI_ARBURST), .m01_AXI_ARLEN(M_IO_AXI_ARLEN), .m01_AXI_ARSIZE(M_IO_AXI_ARSIZE),
205
.m01_AXI_RDATA(M_IO_AXI_R), .m01_AXI_RVALID(M_IO_AXI_RVALID), .m01_AXI_RREADY(M_IO_AXI_RREADY), .m01_AXI_RLAST(M_IO_AXI_RLAST),
206
.m01_AXI_BVALID(1'b1),.m01_AXI_BREADY(M_IO_AXI_BREADY),
207
// interrupts
208
.int_pic(int_pic),.ivect(ivect),.iack(iack),
209
.debug(debug_v586)
210
);
211
 
212
psram_axi_sync psram_axi_sync(
213
// MEM
214
        .mem_addr(extA[23:1]),
215
        .mem_cen(extCSN),
216
        .mem_oen(extOE),
217
        .mem_wen(extWEN),
218
        .mem_ben({extUB,extLB}),
219
        .mem_adv(extADV),
220
        .mem_cre(extCRE),
221
        .mem_data_i(extDB),
222
        .mem_data_o(extDBo),
223
        .mem_data_t(extDBt),
224
        .mem_clk(extCLK),
225
        .mem_wait(extWAIT),
226
        .debug(debug_int2),
227
 
228
// CTRL
229
        .clk(clk),
230
        .rstn(rstn),
231
    .controller_ready(psram_rdy),
232
// AXI
233
// AW CHANNEL
234
        .s00_axi_awaddr(S_AXI_AW_ram),
235
        .s00_axi_awlen(S_AXI_AWLEN_ram),
236
        .s00_axi_awsize(S_AXI_AWSIZE_ram),
237
        .s00_axi_awburst(S_AXI_AWBURST_ram),
238
        .s00_axi_awvalid(S_AXI_AWVALID_ram),
239
        .s00_axi_awready(S_AXI_AWREADY_ram),
240
// W CHANNEL
241
        .s00_axi_wdata(S_AXI_W_ram),
242
        .s00_axi_wstrb(S_AXI_WSTRB_ram),
243
        .s00_axi_wlast(S_AXI_WLAST_ram),
244
        .s00_axi_wvalid(S_AXI_WVALID_ram),
245
        .s00_axi_wready(S_AXI_WREADY_ram),
246
// B CHANNEL
247
        .s00_axi_bvalid(),
248
        .s00_axi_bready(1'b1),
249
// AR CHANNEL
250
        .s00_axi_araddr(S_AXI_AR_ram[23:0]),
251
        .s00_axi_arlen(S_AXI_ARLEN_ram),
252
        .s00_axi_arsize(S_AXI_ARSIZE_ram),
253
        .s00_axi_arburst(S_AXI_ARBURST_ram),
254
        .s00_axi_arvalid(S_AXI_ARVALID_ram),
255
        .s00_axi_arready(S_AXI_ARREADY_ram),
256
// R CHANNEL
257
        .s00_axi_rdata(S_AXI_R_ram),
258
        .s00_axi_rlast(S_AXI_RLAST_ram),
259
        .s00_axi_rvalid(S_AXI_RVALID_ram),
260
        .s00_axi_rready(S_AXI_RREADY_ram)
261
);
262
 
263
axi_rom bootrom (
264
   .clk(clk),
265
   .rstn(rstn&psram_rdy),
266
   .axi_ARVALID(S_AXI_ARVALID_rom),
267
   .axi_ARREADY(S_AXI_ARREADY_rom),
268
   .axi_AR(S_AXI_AR_rom),
269
   .axi_ARBURST(S_AXI_ARBURST_rom),
270
   .axi_ARLEN(S_AXI_ARLEN_rom),
271
   .axi_RLAST(S_AXI_RLAST_rom),
272
   .axi_R(S_AXI_R_rom),
273
   .axi_RVALID(S_AXI_RVALID_rom),
274
   .axi_RREADY(S_AXI_RREADY_rom)
275
   );
276
 
277
`ifdef etherlite
278
axi_ethernetlite_0 i_etherlite (
279
    .s_axi_aclk(clk),
280
    .s_axi_aresetn(rstn&psram_rdy),
281
 
282
    .ip2intc_irpt(),
283
 
284
    .s_axi_awid(4'b000),
285
    .s_axi_awaddr(S_AXI_AW_net[12:0]),
286
    .s_axi_awlen(S_AXI_AWLEN_net),
287
    .s_axi_awsize(S_AXI_AWSIZE_net),
288
    .s_axi_awburst(S_AXI_AWBURST_net),
289
    .s_axi_awcache(4'b0000),
290
    .s_axi_awvalid(S_AXI_AWVALID_net),
291
    .s_axi_awready(S_AXI_AWREADY_net),
292
    .s_axi_wdata(S_AXI_W_net),
293
    .s_axi_wstrb(S_AXI_WSTRB_net),
294
    .s_axi_wlast(S_AXI_WLAST_net),
295
    .s_axi_wvalid(S_AXI_WVALID_net),
296
    .s_axi_wready(S_AXI_WREADY_net),
297
    .s_axi_bid(),
298
    .s_axi_bresp(),
299
    .s_axi_bvalid(),
300
    .s_axi_bready(1'b1),
301
    .s_axi_arid(4'b0),
302
    .s_axi_araddr(S_AXI_AR_net[12:0]),
303
    .s_axi_arlen(S_AXI_ARLEN_net),
304
    .s_axi_arsize(S_AXI_ARSIZE_net),
305
    .s_axi_arburst(S_AXI_ARBURST_net),
306
    .s_axi_arcache(4'b0),
307
    .s_axi_arvalid(S_AXI_ARVALID_net),
308
    .s_axi_arready(S_AXI_ARREADY_net),
309
    .s_axi_rid(),
310
    .s_axi_rdata(S_AXI_R_net),
311
    .s_axi_rresp(),
312
    .s_axi_rlast(S_AXI_RLAST_net),
313
    .s_axi_rvalid(S_AXI_RVALID_net),
314
    .s_axi_rready(S_AXI_RREADY_net),
315
 
316
    .phy_tx_clk(clk),
317
    .phy_rx_clk(clk),
318
    .phy_crs(PhyCrs),
319
    .phy_dv(1'b0),
320
    .phy_rx_data({PhyRxd,2'b00}),
321
    .phy_col(1'b0),
322
    .phy_rx_er(PhyRxErr),
323
    .phy_rst_n(PhyRstn),
324
    .phy_tx_en(PhyTxEn),
325
    //.phy_tx_data(PhyTxd),
326
    .phy_mdio_i(PhyMdio),
327
    .phy_mdio_o(PhyMdio_o),
328
    .phy_mdio_t(PhyMdio_t),
329
    .phy_mdc(PhyMdc)
330
  );
331
  assign PhyMdio = (PhyMdio_t) ? 1'bz : PhyMdio_o;
332
`endif
333
 
334
`ifndef etherlite
335
assign S_AXI_AWREADY_net = 1'b1;
336
assign S_AXI_WREADY_net = 1'b1;
337
assign S_AXI_ARREADY_net = 1'b1;
338
assign S_AXI_RVALID_net = 1'b1;
339
assign S_AXI_RLAST_net = 1'b1;
340
assign S_AXI_R_net = 32'h0;
341
`endif
342
 
343
axi_crossbar_0 i_axi_crossbar_0 (
344
  .aclk(clk),
345
  .aresetn(rstn&psram_rdy),
346
 
347
  .m_axi_awaddr({S_AXI_AW_net,S_AXI_AW_rom,S_AXI_AW_ram}),
348
  .m_axi_awlen({S_AXI_AWLEN_net,S_AXI_AWLEN_rom,S_AXI_AWLEN_ram}),
349
  .m_axi_awsize({S_AXI_AWSIZE_net,S_AXI_AWSIZE_rom,S_AXI_AWSIZE_ram}),
350
  .m_axi_awburst({S_AXI_AWBURST_net,S_AXI_AWBURST_rom,S_AXI_AWBURST_ram}),
351
  .m_axi_awlock(),
352
  .m_axi_awcache(),
353
  .m_axi_awprot(),
354
  .m_axi_awqos(),
355
  .m_axi_awuser(),
356
  .m_axi_awvalid({S_AXI_AWVALID_net,S_AXI_AWVALID_rom,S_AXI_AWVALID_ram}),
357
  .m_axi_awready({1'b1,1'b1,S_AXI_AWREADY_ram}), // rely on B channel
358
 
359
  .m_axi_wdata({S_AXI_W_net,S_AXI_W_rom,S_AXI_W_ram}),
360
  .m_axi_wstrb({S_AXI_WSTRB_net,S_AXI_WSTRB_rom,S_AXI_WSTRB_ram}),
361
  .m_axi_wlast({S_AXI_WLAST_net,S_AXI_WLAST_rom,S_AXI_WLAST_ram}),
362
  .m_axi_wuser(),
363
  .m_axi_wvalid({S_AXI_WVALID_net,S_AXI_WVALID_rom,S_AXI_WVALID_ram}),
364
  .m_axi_wready({1'b1,1'b1,S_AXI_WREADY_ram}),  // rely on B channel
365
 
366
  .m_axi_bresp(0),
367
  .m_axi_buser(0),
368
  .m_axi_bvalid(3'b111),
369
  .m_axi_bready(),
370
 
371
  .m_axi_araddr({S_AXI_AR_net,S_AXI_AR_rom,S_AXI_AR_ram}),
372
  .m_axi_arlen({S_AXI_ARLEN_net,S_AXI_ARLEN_rom,S_AXI_ARLEN_ram}),
373
  .m_axi_arsize({S_AXI_ARSIZE_net,S_AXI_ARSIZE_rom,S_AXI_ARSIZE_ram}),
374
  .m_axi_arburst({S_AXI_ARBURST_net,S_AXI_ARBURST_rom,S_AXI_ARBURST_ram}),
375
  .m_axi_arlock(),
376
  .m_axi_arcache(),
377
  .m_axi_arprot(),
378
  .m_axi_arqos(),
379
  .m_axi_aruser(),
380
  .m_axi_arvalid({S_AXI_ARVALID_net,S_AXI_ARVALID_rom,S_AXI_ARVALID_ram}),
381
  .m_axi_arready({1'b0             ,S_AXI_ARREADY_rom,S_AXI_ARREADY_ram}),  // rely on B channel
382
 
383
  .m_axi_rdata({S_AXI_R_net,S_AXI_R_rom,S_AXI_R_ram}),
384
  .m_axi_rresp(6'b0),
385
  .m_axi_rlast({S_AXI_RLAST_net,S_AXI_RLAST_rom,S_AXI_RLAST_ram}),
386
  .m_axi_ruser(12'b0),
387
  .m_axi_rvalid({S_AXI_RVALID_net,S_AXI_RVALID_rom,S_AXI_RVALID_ram}),
388
  .m_axi_rready({S_AXI_RREADY_net,S_AXI_RREADY_rom,S_AXI_RREADY_ram}),
389
 
390
  .s_axi_awaddr(M_AXI_AW), .s_axi_awvalid(M_AXI_AWVALID), .s_axi_awready(M_AXI_AWREADY),
391
  .s_axi_awburst(M_AXI_AWBURST), .s_axi_awlen(M_AXI_AWLEN), .s_axi_awsize(M_AXI_AWSIZE),
392
  .s_axi_wdata(M_AXI_W), .s_axi_wvalid(M_AXI_WVALID), .s_axi_wready(M_AXI_WREADY), .s_axi_wstrb(M_AXI_WSTRB), .s_axi_wlast(M_AXI_WLAST),
393
  .s_axi_araddr(M_AXI_AR), .s_axi_arvalid(M_AXI_ARVALID), .s_axi_arready(M_AXI_ARREADY),
394
  .s_axi_arburst(M_AXI_ARBURST), .s_axi_arlen(M_AXI_ARLEN), .s_axi_arsize(M_AXI_ARSIZE),
395
  .s_axi_rdata(M_AXI_R), .s_axi_rvalid(M_AXI_RVALID), .s_axi_rready(M_AXI_RREADY), .s_axi_rlast(M_AXI_RLAST),
396
  .s_axi_bvalid(),.s_axi_bready(1'b1),
397
  .s_axi_arlock(0), .s_axi_arcache(0),.s_axi_arprot(0), .s_axi_arqos(0), .s_axi_aruser(0),
398
  .s_axi_awlock(0), .s_axi_awcache(0),.s_axi_awprot(0), .s_axi_awqos(0), .s_axi_awuser(0),
399
  .s_axi_wuser(0)
400
);
401
 
402
always @(posedge clk) if (rstn == 0) sdreset <=1; else sdreset <=0;
403
always @(posedge clk) if (rstn == 0) acl_sel <=1; else acl_sel <=0;
404
always @(posedge clk) if (rstn == 0) PhyClk50Mhz <=0; else PhyClk50Mhz <=~PhyClk50Mhz;
405
 
406
 
407
assign extDB[0]  = extDBt[0]  ? extDBo[0]  : 32'bz ;
408
assign extDB[1]  = extDBt[1]  ? extDBo[1]  : 32'bz ;
409
assign extDB[2]  = extDBt[2]  ? extDBo[2]  : 32'bz ;
410
assign extDB[3]  = extDBt[3]  ? extDBo[3]  : 32'bz ;
411
assign extDB[4]  = extDBt[4]  ? extDBo[4]  : 32'bz ;
412
assign extDB[5]  = extDBt[5]  ? extDBo[5]  : 32'bz ;
413
assign extDB[6]  = extDBt[6]  ? extDBo[6]  : 32'bz ;
414
assign extDB[7]  = extDBt[7]  ? extDBo[7]  : 32'bz ;
415
assign extDB[8]  = extDBt[8]  ? extDBo[8]  : 32'bz ;
416
assign extDB[9]  = extDBt[9]  ? extDBo[9]  : 32'bz ;
417
assign extDB[10] = extDBt[10] ? extDBo[10] : 32'bz ;
418
assign extDB[11] = extDBt[11] ? extDBo[11] : 32'bz ;
419
assign extDB[12] = extDBt[12] ? extDBo[12] : 32'bz ;
420
assign extDB[13] = extDBt[13] ? extDBo[13] : 32'bz ;
421
assign extDB[14] = extDBt[14] ? extDBo[14] : 32'bz ;
422
assign extDB[15] = extDBt[15] ? extDBo[15] : 32'bz ;
423
 
424
 
425
/*
426
assign gpioA[0] = (gpioA_dir[0] == 0) ? 1'bz : gpioA_out[0];
427
assign gpioA[1] = (gpioA_dir[1] == 0) ? 1'bz : gpioA_out[1];
428
assign gpioA[2] = (gpioA_dir[2] == 0) ? 1'bz : gpioA_out[2];
429
assign gpioA[3] = (gpioA_dir[3] == 0) ? 1'bz : gpioA_out[3];
430
assign gpioA[4] = (gpioA_dir[4] == 0) ? 1'bz : gpioA_out[4];
431
assign gpioA[5] = (gpioA_dir[5] == 0) ? 1'bz : gpioA_out[5];
432
assign gpioA[6] = (gpioA_dir[6] == 0) ? 1'bz : gpioA_out[6];
433
assign gpioA[7] = (gpioA_dir[7] == 0) ? 1'bz : gpioA_out[7];
434
assign gpioB[0] = (gpioB_dir[0] == 0) ? 1'bz : gpioB_out[0];
435
assign gpioB[1] = (gpioB_dir[1] == 0) ? 1'bz : gpioB_out[1];
436
assign gpioB[2] = (gpioB_dir[2] == 0) ? 1'bz : gpioB_out[2];
437
assign gpioB[3] = (gpioB_dir[3] == 0) ? 1'bz : gpioB_out[3];
438
assign gpioB[4] = (gpioB_dir[4] == 0) ? 1'bz : gpioB_out[4];
439
assign gpioB[5] = (gpioB_dir[5] == 0) ? 1'bz : gpioB_out[5];
440
assign gpioB[6] = (gpioB_dir[6] == 0) ? 1'bz : gpioB_out[6];
441
assign gpioB[7] = (gpioB_dir[7] == 0) ? 1'bz : gpioB_out[7];
442
*/
443
assign sdwp = 1'b1;
444
assign sdhld = 1'b1;
445
 
446
periph i_periph (
447
.s00_AXI_RSTN(rstn&psram_rdy),
448
.s00_AXI_CLK(clk),
449
.cfg(gpio_in[6:0]),
450
// spi
451
.spi_mosi(sdout),
452
.spi_miso(sdin),
453
.spi_clk(sdclk),
454
.spi_cs(sdcs),
455
// tiny spi
456
.mosi(mosi),
457
.miso(miso),
458
.sclk(sclk),
459
// interrupts
460
.int_pic(int_pic),
461
.iack(iack),
462
.ivect(ivect),
463
// gpio
464
.gpioA_in(gpioA),.gpioB_in(gpioB),
465
.gpioA_out(gpioA_out),.gpioB_out(gpioB_out),
466
.gpioA_dir(gpioA_dir),.gpioB_dir(gpioB_dir),
467
//uart
468
.RXD(RXD),
469
.TXD(TXD),
470
// AXI4 IO 32 BIT BUS
471
.s00_AXI_AWADDR(M_IO_AXI_AW),
472
.s00_AXI_AWVALID(M_IO_AXI_AWVALID),
473
.s00_AXI_AWREADY(M_IO_AXI_AWREADY),
474
.s00_AXI_AWBURST(M_IO_AXI_AWBURST),
475
.s00_AXI_AWLEN(M_IO_AXI_AWLEN),
476
.s00_AXI_AWSIZE(M_IO_AXI_AWSIZE),
477
.s00_AXI_ARADDR(M_IO_AXI_AR),
478
.s00_AXI_ARVALID(M_IO_AXI_ARVALID),
479
.s00_AXI_ARREADY(M_IO_AXI_ARREADY),
480
.s00_AXI_ARBURST(M_IO_AXI_ARBURST),
481
.s00_AXI_ARLEN(M_IO_AXI_ARLEN),
482
.s00_AXI_ARSIZE(M_IO_AXI_ARSIZE),
483
.s00_AXI_WDATA(M_IO_AXI_W),
484
.s00_AXI_WVALID(M_IO_AXI_WVALID),
485
.s00_AXI_WREADY(M_IO_AXI_WREADY),
486
.s00_AXI_WSTRB(M_IO_AXI_WSTRB),
487
.s00_AXI_WLAST(M_IO_AXI_WLAST),
488
.s00_AXI_RDATA(M_IO_AXI_R),
489
.s00_AXI_RVALID(M_IO_AXI_RVALID),
490
.s00_AXI_RREADY(M_IO_AXI_RREADY),
491
.s00_AXI_RLAST(M_IO_AXI_RLAST),
492
.s00_AXI_BVALID(),
493
.s00_AXI_BREADY(1'b1)
494
);
495
 
496
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.