OpenCores
URL https://opencores.org/ocsvn/v586/v586/trunk

Subversion Repositories v586

[/] [v586/] [trunk/] [board_specific_files/] [nexys4ddr/] [TOP_SYS.xdc] - Blame information for rev 121

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 121 ultro
 
2
 
3
set_property PACKAGE_PIN E3 [get_ports clk100]
4
set_property IOSTANDARD LVCMOS33 [get_ports clk100]
5
create_clock -period 10.000 -name clk100 [get_ports clk100]
6
 
7
set_property PACKAGE_PIN C4 [get_ports RXD]
8
set_property IOSTANDARD LVCMOS33 [get_ports RXD]
9
set_property PACKAGE_PIN D4 [get_ports TXD]
10
set_property IOSTANDARD LVCMOS33 [get_ports TXD]
11
 
12
set_property PACKAGE_PIN C12 [get_ports rstn]
13
set_property IOSTANDARD LVCMOS33 [get_ports rstn]
14
 
15
 
16
set_property -dict { PACKAGE_PIN J13   IOSTANDARD LVCMOS33 } [get_ports { debug[0] }]; #IO_L18P_T2_A24_15 Sch=led[0]
17
set_property -dict { PACKAGE_PIN R18   IOSTANDARD LVCMOS33 } [get_ports { debug[1] }]; #IO_L24P_T3_RS1_15 Sch=led[1]
18
set_property -dict { PACKAGE_PIN U17   IOSTANDARD LVCMOS33 } [get_ports { debug[2] }]; #IO_L17N_T2_A25_15 Sch=led[2]
19
set_property -dict { PACKAGE_PIN U16   IOSTANDARD LVCMOS33 } [get_ports { debug[3] }]; #IO_L8P_T1_D11_14 Sch=led[3]
20
 
21
 
22
## LEDs
23
 
24
set_property -dict { PACKAGE_PIN H17   IOSTANDARD LVCMOS33 } [get_ports { gpioA[0] }]; #IO_L18P_T2_A24_15 Sch=led[0]
25
set_property -dict { PACKAGE_PIN K15   IOSTANDARD LVCMOS33 } [get_ports { gpioA[1] }]; #IO_L24P_T3_RS1_15 Sch=led[1]
26
#set_property -dict { PACKAGE_PIN J13   IOSTANDARD LVCMOS33 } [get_ports { gpioA[2] }]; #IO_L17N_T2_A25_15 Sch=led[2]
27
set_property -dict { PACKAGE_PIN N14   IOSTANDARD LVCMOS33 } [get_ports { gpioA[3] }]; #IO_L8P_T1_D11_14 Sch=led[3]
28
#set_property -dict { PACKAGE_PIN R18   IOSTANDARD LVCMOS33 } [get_ports { gpioA[4] }]; #IO_L7P_T1_D09_14 Sch=led[4]
29
set_property -dict { PACKAGE_PIN V17   IOSTANDARD LVCMOS33 } [get_ports { gpioA[5] }]; #IO_L18N_T2_A11_D27_14 Sch=led[5]
30
#set_property -dict { PACKAGE_PIN U17   IOSTANDARD LVCMOS33 } [get_ports { gpioA[6] }]; #IO_L17P_T2_A14_D30_14 Sch=led[6]
31
#set_property -dict { PACKAGE_PIN U16   IOSTANDARD LVCMOS33 } [get_ports { gpioA[7] }]; #IO_L18P_T2_A12_D28_14 Sch=led[7]
32
set_property -dict { PACKAGE_PIN V16   IOSTANDARD LVCMOS33 } [get_ports { gpioB[0] }]; #IO_L16N_T2_A15_D31_14 Sch=led[8]
33
set_property -dict { PACKAGE_PIN T15   IOSTANDARD LVCMOS33 } [get_ports { gpioB[1] }]; #IO_L14N_T2_SRCC_14 Sch=led[9]
34
set_property -dict { PACKAGE_PIN U14   IOSTANDARD LVCMOS33 } [get_ports { gpioB[2] }]; #IO_L22P_T3_A05_D21_14 Sch=led[10]
35
set_property -dict { PACKAGE_PIN T16   IOSTANDARD LVCMOS33 } [get_ports { gpioB[3] }]; #IO_L15N_T2_DQS_DOUT_CSO_B_14 Sch=led[11]
36
set_property -dict { PACKAGE_PIN V15   IOSTANDARD LVCMOS33 } [get_ports { gpioB[4] }]; #IO_L16P_T2_CSI_B_14 Sch=led[12]
37
set_property -dict { PACKAGE_PIN V14   IOSTANDARD LVCMOS33 } [get_ports { gpioB[5] }]; #IO_L22N_T3_A04_D20_14 Sch=led[13]
38
set_property -dict { PACKAGE_PIN V12   IOSTANDARD LVCMOS33 } [get_ports { gpioB[6] }]; #IO_L20N_T3_A07_D23_14 Sch=led[14]
39
set_property -dict { PACKAGE_PIN V11   IOSTANDARD LVCMOS33 } [get_ports { gpioB[7] }]; #IO_L21N_T3_DQS_A06_D22_14 Sch=led[15]
40
 
41
 
42
##Micro SD Connector
43
set_property -dict { PACKAGE_PIN E2    IOSTANDARD LVCMOS33 } [get_ports { sdreset }]; #IO_L14P_T2_SRCC_35 Sch=sd_reset
44
set_property -dict { PACKAGE_PIN B1    IOSTANDARD LVCMOS33 } [get_ports { gpioA[4] }]; #IO_L9P_T1_DQS_AD7P_35 Sch=sd_sck
45
set_property -dict { PACKAGE_PIN C1    IOSTANDARD LVCMOS33 } [get_ports { gpioA[6] }]; #IO_L16N_T2_35 Sch=sd_cmd
46
set_property -dict { PACKAGE_PIN C2    IOSTANDARD LVCMOS33 } [get_ports { gpioA[7] }]; #IO_L16P_T2_35 Sch=sd_dat[0]
47
set_property -dict { PACKAGE_PIN D2    IOSTANDARD LVCMOS33 } [get_ports { gpioA[2] }]; #IO_L14N_T2_SRCC_35 Sch=sd_dat[3]
48
 
49
set_property PACKAGE_PIN C16 [get_ports {aclInt1}]
50
set_property IOSTANDARD LVCMOS33 [get_ports {aclInt1}]
51
set_property PACKAGE_PIN B13 [get_ports {aclInt2}]
52
set_property IOSTANDARD LVCMOS33 [get_ports {aclInt2}]
53
 
54
set_property -dict { PACKAGE_PIN E15   IOSTANDARD LVCMOS33 } [get_ports { miso }]; #IO_L11P_T1_SRCC_15 Sch=acl_miso
55
set_property -dict { PACKAGE_PIN F14   IOSTANDARD LVCMOS33 } [get_ports { mosi }]; #IO_L5N_T0_AD9N_15 Sch=acl_mosi
56
set_property -dict { PACKAGE_PIN F15   IOSTANDARD LVCMOS33 } [get_ports { sclk }]; #IO_L14P_T2_SRCC_15 Sch=acl_sclk
57
 
58
 
59
## SMSC Ethernet PHY
60
##SMSC Ethernet PHY
61
##Bank = 16, Pin name = IO_L11P_T1_SRCC_16,                                     Sch name = ETH_MDC
62
set_property PACKAGE_PIN C9 [get_ports PhyMdc]
63
set_property IOSTANDARD LVCMOS33 [get_ports PhyMdc]
64
##Bank = 16, Pin name = IO_L14N_T2_SRCC_16,                                     Sch name = ETH_MDIO
65
set_property PACKAGE_PIN A9 [get_ports PhyMdio]
66
set_property IOSTANDARD LVCMOS33 [get_ports PhyMdio]
67
##Bank = 35, Pin name = IO_L10P_T1_AD15P_35,                                    Sch name = ETH_RSTN
68
set_property PACKAGE_PIN B3 [get_ports PhyRstn]
69
set_property IOSTANDARD LVCMOS33 [get_ports PhyRstn]
70
##Bank = 16, Pin name = IO_L6N_T0_VREF_16,                                      Sch name = ETH_CRSDV
71
set_property PACKAGE_PIN D9 [get_ports PhyCrs]
72
set_property IOSTANDARD LVCMOS33 [get_ports PhyCrs]
73
##Bank = 16, Pin name = IO_L13N_T2_MRCC_16,                                     Sch name = ETH_RXERR
74
set_property PACKAGE_PIN C10 [get_ports PhyRxErr]
75
set_property IOSTANDARD LVCMOS33 [get_ports PhyRxErr]
76
##Bank = 16, Pin name = IO_L19N_T3_VREF_16,                                     Sch name = ETH_RXD0
77
set_property PACKAGE_PIN D10 [get_ports {PhyRxd[0]}]
78
set_property IOSTANDARD LVCMOS33 [get_ports {PhyRxd[0]}]
79
##Bank = 16, Pin name = IO_L13P_T2_MRCC_16,                                     Sch name = ETH_RXD1
80
set_property PACKAGE_PIN C11 [get_ports {PhyRxd[1]}]
81
set_property IOSTANDARD LVCMOS33 [get_ports {PhyRxd[1]}]
82
##Bank = 16, Pin name = IO_L11N_T1_SRCC_16,                                     Sch name = ETH_TXEN
83
set_property PACKAGE_PIN B9 [get_ports PhyTxEn]
84
set_property IOSTANDARD LVCMOS33 [get_ports PhyTxEn]
85
##Bank = 16, Pin name = IO_L14P_T2_SRCC_16,                                     Sch name = ETH_TXD0
86
set_property PACKAGE_PIN A10 [get_ports {PhyTxd[0]}]
87
set_property IOSTANDARD LVCMOS33 [get_ports {PhyTxd[0]}]
88
##Bank = 16, Pin name = IO_L12N_T1_MRCC_16,                                     Sch name = ETH_TXD1
89
set_property PACKAGE_PIN A8 [get_ports {PhyTxd[1]}]
90
set_property IOSTANDARD LVCMOS33 [get_ports {PhyTxd[1]}]
91
##Bank = 35, Pin name = IO_L11P_T1_SRCC_35,                                     Sch name = ETH_REFCLK
92
set_property PACKAGE_PIN D5 [get_ports PhyClk50Mhz]
93
set_property IOSTANDARD LVCMOS33 [get_ports PhyClk50Mhz]
94
##Bank = 16, Pin name = IO_L12P_T1_MRCC_16,                                     Sch name = ETH_INTN
95
#set_property PACKAGE_PIN B8 [get_ports PhyIntn]
96
#set_property IOSTANDARD LVCMOS33 [get_ports PhyIntn]
97
 
98
## Quad SPI Flash
99
#NET "sdclk"            LOC = "E9"      ;               #Bank = CONFIG, Pin name = CCLK_0,                                                      Sch name = QSPI_SCK
100
 
101
set_property -dict { PACKAGE_PIN K17   IOSTANDARD LVCMOS33 } [get_ports { sdout }]; #IO_L1P_T0_D00_MOSI_14 Sch=qspi_dq[0]
102
set_property -dict { PACKAGE_PIN K18   IOSTANDARD LVCMOS33 } [get_ports { sdin  }]; #IO_L1N_T0_D01_DIN_14 Sch=qspi_dq[1]
103
set_property -dict { PACKAGE_PIN L14   IOSTANDARD LVCMOS33 } [get_ports { sdwp  }]; #IO_L2N_T0_D03_14 Sch=qspi_dq[3]
104
set_property -dict { PACKAGE_PIN M14   IOSTANDARD LVCMOS33 } [get_ports { sdhld }]; #IO_L2N_T0_D03_14 Sch=qspi_dq[3]
105
set_property -dict { PACKAGE_PIN L13   IOSTANDARD LVCMOS33 } [get_ports { sdcs  }]; #IO_L6P_T0_FCS_B_14 Sch=qspi_csn
106
 
107
#############################
108
### DDR2
109
#############################
110
 
111
##DRAM
112
set_property SLEW FAST [get_ports {DDR2DQ[0]}]
113
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQ[0]}]
114
set_property IOSTANDARD SSTL18_II [get_ports {DDR2DQ[0]}]
115
set_property PACKAGE_PIN R7 [get_ports {DDR2DQ[0]}]
116
 
117
set_property SLEW FAST [get_ports {DDR2DQ[1]}]
118
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQ[1]}]
119
set_property IOSTANDARD SSTL18_II [get_ports {DDR2DQ[1]}]
120
set_property PACKAGE_PIN V6 [get_ports {DDR2DQ[1]}]
121
 
122
set_property SLEW FAST [get_ports {DDR2DQ[2]}]
123
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQ[2]}]
124
set_property IOSTANDARD SSTL18_II [get_ports {DDR2DQ[2]}]
125
set_property PACKAGE_PIN R8 [get_ports {DDR2DQ[2]}]
126
 
127
set_property SLEW FAST [get_ports {DDR2DQ[3]}]
128
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQ[3]}]
129
set_property IOSTANDARD SSTL18_II [get_ports {DDR2DQ[3]}]
130
set_property PACKAGE_PIN U7 [get_ports {DDR2DQ[3]}]
131
 
132
set_property SLEW FAST [get_ports {DDR2DQ[4]}]
133
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQ[4]}]
134
set_property IOSTANDARD SSTL18_II [get_ports {DDR2DQ[4]}]
135
set_property PACKAGE_PIN V7 [get_ports {DDR2DQ[4]}]
136
 
137
set_property SLEW FAST [get_ports {DDR2DQ[5]}]
138
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQ[5]}]
139
set_property IOSTANDARD SSTL18_II [get_ports {DDR2DQ[5]}]
140
set_property PACKAGE_PIN R6 [get_ports {DDR2DQ[5]}]
141
 
142
set_property SLEW FAST [get_ports {DDR2DQ[6]}]
143
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQ[6]}]
144
set_property IOSTANDARD SSTL18_II [get_ports {DDR2DQ[6]}]
145
set_property PACKAGE_PIN U6 [get_ports {DDR2DQ[6]}]
146
 
147
set_property SLEW FAST [get_ports {DDR2DQ[7]}]
148
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQ[7]}]
149
set_property IOSTANDARD SSTL18_II [get_ports {DDR2DQ[7]}]
150
set_property PACKAGE_PIN R5 [get_ports {DDR2DQ[7]}]
151
 
152
set_property SLEW FAST [get_ports {DDR2DQ[8]}]
153
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQ[8]}]
154
set_property IOSTANDARD SSTL18_II [get_ports {DDR2DQ[8]}]
155
set_property PACKAGE_PIN T5 [get_ports {DDR2DQ[8]}]
156
 
157
set_property SLEW FAST [get_ports {DDR2DQ[9]}]
158
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQ[9]}]
159
set_property IOSTANDARD SSTL18_II [get_ports {DDR2DQ[9]}]
160
set_property PACKAGE_PIN U3 [get_ports {DDR2DQ[9]}]
161
 
162
set_property SLEW FAST [get_ports {DDR2DQ[10]}]
163
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQ[10]}]
164
set_property IOSTANDARD SSTL18_II [get_ports {DDR2DQ[10]}]
165
set_property PACKAGE_PIN V5 [get_ports {DDR2DQ[10]}]
166
 
167
set_property SLEW FAST [get_ports {DDR2DQ[11]}]
168
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQ[11]}]
169
set_property IOSTANDARD SSTL18_II [get_ports {DDR2DQ[11]}]
170
set_property PACKAGE_PIN U4 [get_ports {DDR2DQ[11]}]
171
 
172
set_property SLEW FAST [get_ports {DDR2DQ[12]}]
173
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQ[12]}]
174
set_property IOSTANDARD SSTL18_II [get_ports {DDR2DQ[12]}]
175
set_property PACKAGE_PIN V4 [get_ports {DDR2DQ[12]}]
176
 
177
set_property SLEW FAST [get_ports {DDR2DQ[13]}]
178
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQ[13]}]
179
set_property IOSTANDARD SSTL18_II [get_ports {DDR2DQ[13]}]
180
set_property PACKAGE_PIN T4 [get_ports {DDR2DQ[13]}]
181
 
182
set_property SLEW FAST [get_ports {DDR2DQ[14]}]
183
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQ[14]}]
184
set_property IOSTANDARD SSTL18_II [get_ports {DDR2DQ[14]}]
185
set_property PACKAGE_PIN V1 [get_ports {DDR2DQ[14]}]
186
 
187
set_property SLEW FAST [get_ports {DDR2DQ[15]}]
188
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQ[15]}]
189
set_property IOSTANDARD SSTL18_II [get_ports {DDR2DQ[15]}]
190
set_property PACKAGE_PIN T3 [get_ports {DDR2DQ[15]}]
191
 
192
set_property SLEW FAST [get_ports {DDR2ADDR[12]}]
193
set_property IOSTANDARD SSTL18_II [get_ports {DDR2ADDR[12]}]
194
set_property PACKAGE_PIN N6 [get_ports {DDR2ADDR[12]}]
195
 
196
set_property SLEW FAST [get_ports {DDR2ADDR[11]}]
197
set_property IOSTANDARD SSTL18_II [get_ports {DDR2ADDR[11]}]
198
set_property PACKAGE_PIN K5 [get_ports {DDR2ADDR[11]}]
199
 
200
set_property SLEW FAST [get_ports {DDR2ADDR[10]}]
201
set_property IOSTANDARD SSTL18_II [get_ports {DDR2ADDR[10]}]
202
set_property PACKAGE_PIN R2 [get_ports {DDR2ADDR[10]}]
203
 
204
set_property SLEW FAST [get_ports {DDR2ADDR[9]}]
205
set_property IOSTANDARD SSTL18_II [get_ports {DDR2ADDR[9]}]
206
set_property PACKAGE_PIN N5 [get_ports {DDR2ADDR[9]}]
207
 
208
set_property SLEW FAST [get_ports {DDR2ADDR[8]}]
209
set_property IOSTANDARD SSTL18_II [get_ports {DDR2ADDR[8]}]
210
set_property PACKAGE_PIN L4 [get_ports {DDR2ADDR[8]}]
211
 
212
set_property SLEW FAST [get_ports {DDR2ADDR[7]}]
213
set_property IOSTANDARD SSTL18_II [get_ports {DDR2ADDR[7]}]
214
set_property PACKAGE_PIN N1 [get_ports {DDR2ADDR[7]}]
215
 
216
set_property SLEW FAST [get_ports {DDR2ADDR[6]}]
217
set_property IOSTANDARD SSTL18_II [get_ports {DDR2ADDR[6]}]
218
set_property PACKAGE_PIN M2 [get_ports {DDR2ADDR[6]}]
219
 
220
set_property SLEW FAST [get_ports {DDR2ADDR[5]}]
221
set_property IOSTANDARD SSTL18_II [get_ports {DDR2ADDR[5]}]
222
set_property PACKAGE_PIN P5 [get_ports {DDR2ADDR[5]}]
223
 
224
set_property SLEW FAST [get_ports {DDR2ADDR[4]}]
225
set_property IOSTANDARD SSTL18_II [get_ports {DDR2ADDR[4]}]
226
set_property PACKAGE_PIN L3 [get_ports {DDR2ADDR[4]}]
227
 
228
set_property SLEW FAST [get_ports {DDR2ADDR[3]}]
229
set_property IOSTANDARD SSTL18_II [get_ports {DDR2ADDR[3]}]
230
set_property PACKAGE_PIN T1 [get_ports {DDR2ADDR[3]}]
231
 
232
set_property SLEW FAST [get_ports {DDR2ADDR[2]}]
233
set_property IOSTANDARD SSTL18_II [get_ports {DDR2ADDR[2]}]
234
set_property PACKAGE_PIN M6 [get_ports {DDR2ADDR[2]}]
235
 
236
set_property SLEW FAST [get_ports {DDR2ADDR[1]}]
237
set_property IOSTANDARD SSTL18_II [get_ports {DDR2ADDR[1]}]
238
set_property PACKAGE_PIN P4 [get_ports {DDR2ADDR[1]}]
239
 
240
set_property SLEW FAST [get_ports {DDR2ADDR[0]}]
241
set_property IOSTANDARD SSTL18_II [get_ports {DDR2ADDR[0]}]
242
set_property PACKAGE_PIN M4 [get_ports {DDR2ADDR[0]}]
243
 
244
set_property SLEW FAST [get_ports {DDR2BA[2]}]
245
set_property IOSTANDARD SSTL18_II [get_ports {DDR2BA[2]}]
246
set_property PACKAGE_PIN R1 [get_ports {DDR2BA[2]}]
247
 
248
set_property SLEW FAST [get_ports {DDR2BA[1]}]
249
set_property IOSTANDARD SSTL18_II [get_ports {DDR2BA[1]}]
250
set_property PACKAGE_PIN P3 [get_ports {DDR2BA[1]}]
251
 
252
set_property SLEW FAST [get_ports {DDR2BA[0]}]
253
set_property IOSTANDARD SSTL18_II [get_ports {DDR2BA[0]}]
254
set_property PACKAGE_PIN P2 [get_ports {DDR2BA[0]}]
255
 
256
set_property SLEW FAST [get_ports {DDR2RAS_N}]
257
set_property IOSTANDARD SSTL18_II [get_ports {DDR2RAS_N}]
258
set_property PACKAGE_PIN N4 [get_ports {DDR2RAS_N}]
259
 
260
set_property SLEW FAST [get_ports {DDR2CAS_N}]
261
set_property IOSTANDARD SSTL18_II [get_ports {DDR2CAS_N}]
262
set_property PACKAGE_PIN L1 [get_ports {DDR2CAS_N}]
263
 
264
set_property SLEW FAST [get_ports {DDR2WE_N}]
265
set_property IOSTANDARD SSTL18_II [get_ports {DDR2WE_N}]
266
set_property PACKAGE_PIN N2 [get_ports {DDR2WE_N}]
267
 
268
set_property SLEW FAST [get_ports {DDR2CKE[0]}]
269
set_property IOSTANDARD SSTL18_II [get_ports {DDR2CKE[0]}]
270
set_property PACKAGE_PIN M1 [get_ports {DDR2CKE[0]}]
271
 
272
set_property SLEW FAST [get_ports {DDR2ODT[0]}]
273
set_property IOSTANDARD SSTL18_II [get_ports {DDR2ODT[0]}]
274
set_property PACKAGE_PIN M3 [get_ports {DDR2ODT[0]}]
275
 
276
set_property SLEW FAST [get_ports {DDR2CS_N[0]}]
277
set_property IOSTANDARD SSTL18_II [get_ports {DDR2CS_N[0]}]
278
set_property PACKAGE_PIN K6 [get_ports {DDR2CS_N[0]}]
279
 
280
set_property SLEW FAST [get_ports {DDR2DM[0]}]
281
set_property IOSTANDARD SSTL18_II [get_ports {DDR2DM[0]}]
282
set_property PACKAGE_PIN T6 [get_ports {DDR2DM[0]}]
283
 
284
set_property SLEW FAST [get_ports {DDR2DM[1]}]
285
set_property IOSTANDARD SSTL18_II [get_ports {DDR2DM[1]}]
286
set_property PACKAGE_PIN U1 [get_ports {DDR2DM[1]}]
287
 
288
set_property SLEW FAST [get_ports {DDR2DQS_P[0]}]
289
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQS_P[0]}]
290
set_property IOSTANDARD DIFF_SSTL18_II [get_ports {DDR2DQS_P[0]}]
291
set_property PACKAGE_PIN U9 [get_ports {DDR2DQS_P[0]}]
292
 
293
set_property SLEW FAST [get_ports {DDR2DQS_N[0]}]
294
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQS_N[0]}]
295
set_property IOSTANDARD DIFF_SSTL18_II [get_ports {DDR2DQS_N[0]}]
296
set_property PACKAGE_PIN V9 [get_ports {DDR2DQS_N[0]}]
297
 
298
set_property SLEW FAST [get_ports {DDR2DQS_P[1]}]
299
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQS_P[1]}]
300
set_property IOSTANDARD DIFF_SSTL18_II [get_ports {DDR2DQS_P[1]}]
301
set_property PACKAGE_PIN U2 [get_ports {DDR2DQS_P[1]}]
302
 
303
set_property SLEW FAST [get_ports {DDR2DQS_N[1]}]
304
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {DDR2DQS_N[1]}]
305
set_property IOSTANDARD DIFF_SSTL18_II [get_ports {DDR2DQS_N[1]}]
306
set_property PACKAGE_PIN V2 [get_ports {DDR2DQS_N[1]}]
307
 
308
set_property SLEW FAST [get_ports {DDR2CK_P[0]}]
309
set_property IOSTANDARD DIFF_SSTL18_II [get_ports {DDR2CK_P[0]}]
310
set_property PACKAGE_PIN L6 [get_ports {DDR2CK_P[0]}]
311
 
312
set_property SLEW FAST [get_ports {DDR2CK_N[0]}]
313
set_property IOSTANDARD DIFF_SSTL18_II [get_ports {DDR2CK_N[0]}]
314
set_property PACKAGE_PIN L5 [get_ports {DDR2CK_N[0]}]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.