OpenCores
URL https://opencores.org/ocsvn/v586/v586/trunk

Subversion Repositories v586

[/] [v586/] [trunk/] [board_specific_files/] [nexys4ddr/] [clk_wiz_0.v] - Blame information for rev 121

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 121 ultro
// file: clk_wiz_0.v
2
//
3
// (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
4
//
5
// This file contains confidential and proprietary information
6
// of Xilinx, Inc. and is protected under U.S. and
7
// international copyright and other intellectual property
8
// laws.
9
//
10
// DISCLAIMER
11
// This disclaimer is not a license and does not grant any
12
// rights to the materials distributed herewith. Except as
13
// otherwise provided in a valid license issued to you by
14
// Xilinx, and to the maximum extent permitted by applicable
15
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
// (2) Xilinx shall not be liable (whether in contract or tort,
21
// including negligence, or under any other theory of
22
// liability) for any loss or damage of any kind or nature
23
// related to, arising under or in connection with these
24
// materials, including for any direct, or any indirect,
25
// special, incidental, or consequential loss or damage
26
// (including loss of data, profits, goodwill, or any type of
27
// loss or damage suffered as a result of any action brought
28
// by a third party) even if such damage or loss was
29
// reasonably foreseeable or Xilinx had been advised of the
30
// possibility of the same.
31
//
32
// CRITICAL APPLICATIONS
33
// Xilinx products are not designed or intended to be fail-
34
// safe, or for use in any application requiring fail-safe
35
// performance, such as life-support or safety devices or
36
// systems, Class III medical devices, nuclear facilities,
37
// applications related to the deployment of airbags, or any
38
// other applications that could lead to death, personal
39
// injury, or severe property or environmental damage
40
// (individually and collectively, "Critical
41
// Applications"). Customer assumes the sole risk and
42
// liability of any use of Xilinx products in Critical
43
// Applications, subject only to applicable laws and
44
// regulations governing limitations on product liability.
45
//
46
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
// PART OF THIS FILE AT ALL TIMES.
48
//
49
//----------------------------------------------------------------------------
50
// User entered comments
51
//----------------------------------------------------------------------------
52
// None
53
//
54
//----------------------------------------------------------------------------
55
//  Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase
56
//   Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)
57
//----------------------------------------------------------------------------
58
// CLK_OUT1____50.000______0.000______50.0______151.636_____98.575
59
// CLK_OUT2____25.000______0.000______50.0______175.402_____98.575
60
// CLK_OUT3___200.000______0.000______50.0______114.829_____98.575
61
//
62
//----------------------------------------------------------------------------
63
// Input Clock   Freq (MHz)    Input Jitter (UI)
64
//----------------------------------------------------------------------------
65
// __primary_________100.000____________0.010
66
 
67
`timescale 1ps/1ps
68
 
69
module clk_wiz_0
70
  (// Clock in ports
71
   input  clk_in1,
72
   // Clock out ports
73
   output clk_out1,
74
   output clk_out2,
75
   output clk_out3,
76
   // Status and control signals
77
   output locked
78
   );
79
 
80
    // Input buffering
81
    //------------------------------------
82
    IBUF clkin1_ibufg
83
      (.O (clk_in1_clk_wiz_0),
84
       .I (clk_in1));
85
 
86
 
87
 
88
    // Clocking PRIMITIVE
89
    //------------------------------------
90
    // Instantiation of the MMCM PRIMITIVE
91
    //    * Unused inputs are tied off
92
    //    * Unused outputs are labeled unused
93
    wire [15:0] do_unused;
94
    wire        drdy_unused;
95
    wire        psdone_unused;
96
    wire        locked_int;
97
    wire        clkfbout_clk_wiz_0;
98
    wire        clkfbout_buf_clk_wiz_0;
99
    wire        clkfboutb_unused;
100
    wire        clkout0b_unused;
101
    wire        clkout1b_unused;
102
    wire        clkout2b_unused;
103
    wire        clkout3_unused;
104
    wire        clkout3b_unused;
105
    wire        clkout4_unused;
106
    wire        clkout5_unused;
107
    wire        clkout6_unused;
108
    wire        clkfbstopped_unused;
109
    wire        clkinstopped_unused;
110
 
111
    MMCME2_ADV
112
      #(.BANDWIDTH            ("OPTIMIZED"),
113
        .CLKOUT4_CASCADE      ("FALSE"),
114
        .COMPENSATION         ("ZHOLD"),
115
        .STARTUP_WAIT         ("FALSE"),
116
        .DIVCLK_DIVIDE        (1),
117
        .CLKFBOUT_MULT_F      (10.000),
118
        .CLKFBOUT_PHASE       (0.000),
119
        .CLKFBOUT_USE_FINE_PS ("FALSE"),
120
        .CLKOUT0_DIVIDE_F     (10.000),
121
        .CLKOUT0_PHASE        (0.000),
122
        .CLKOUT0_DUTY_CYCLE   (0.500),
123
        .CLKOUT0_USE_FINE_PS  ("FALSE"),
124
        .CLKOUT1_DIVIDE       (40),
125
        .CLKOUT1_PHASE        (0.000),
126
        .CLKOUT1_DUTY_CYCLE   (0.500),
127
        .CLKOUT1_USE_FINE_PS  ("FALSE"),
128
        .CLKOUT2_DIVIDE       (5),
129
        .CLKOUT2_PHASE        (0.000),
130
        .CLKOUT2_DUTY_CYCLE   (0.500),
131
        .CLKOUT2_USE_FINE_PS  ("FALSE"),
132
        .CLKIN1_PERIOD        (10.0))
133
    mmcm_adv_inst
134
      // Output clocks
135
      (
136
       .CLKFBOUT            (clkfbout_clk_wiz_0),
137
       .CLKFBOUTB           (clkfboutb_unused),
138
       .CLKOUT0             (clk_out1_clk_wiz_0),
139
       .CLKOUT0B            (clkout0b_unused),
140
       .CLKOUT1             (clk_out2_clk_wiz_0),
141
       .CLKOUT1B            (clkout1b_unused),
142
       .CLKOUT2             (clk_out3_clk_wiz_0),
143
       .CLKOUT2B            (clkout2b_unused),
144
       .CLKOUT3             (clkout3_unused),
145
       .CLKOUT3B            (clkout3b_unused),
146
       .CLKOUT4             (clkout4_unused),
147
       .CLKOUT5             (clkout5_unused),
148
       .CLKOUT6             (clkout6_unused),
149
       // Input clock control
150
       .CLKFBIN             (clkfbout_buf_clk_wiz_0),
151
       .CLKIN1              (clk_in1_clk_wiz_0),
152
       .CLKIN2              (1'b0),
153
       // Tied to always select the primary input clock
154
       .CLKINSEL            (1'b1),
155
       // Ports for dynamic reconfiguration
156
       .DADDR               (7'h0),
157
       .DCLK                (1'b0),
158
       .DEN                 (1'b0),
159
       .DI                  (16'h0),
160
       .DO                  (do_unused),
161
       .DRDY                (drdy_unused),
162
       .DWE                 (1'b0),
163
       // Ports for dynamic phase shift
164
       .PSCLK               (1'b0),
165
       .PSEN                (1'b0),
166
       .PSINCDEC            (1'b0),
167
       .PSDONE              (psdone_unused),
168
       // Other control and status signals
169
       .LOCKED              (locked_int),
170
       .CLKINSTOPPED        (clkinstopped_unused),
171
       .CLKFBSTOPPED        (clkfbstopped_unused),
172
       .PWRDWN              (1'b0),
173
       .RST                 (1'b0));
174
 
175
 
176
    assign locked = locked_int;
177
 
178
    // Output buffering
179
    //-----------------------------------
180
 
181
    BUFG clkf_buf
182
      (.O (clkfbout_buf_clk_wiz_0),
183
       .I (clkfbout_clk_wiz_0));
184
 
185
 
186
 
187
    BUFG clkout1_buf
188
      (.O   (clk_out1),
189
       .I   (clk_out1_clk_wiz_0));
190
 
191
 
192
    BUFG clkout2_buf
193
      (.O   (clk_out2),
194
       .I   (clk_out2_clk_wiz_0));
195
 
196
    BUFG clkout3_buf
197
      (.O   (clk_out3),
198
       .I   (clk_out3_clk_wiz_0));
199
 
200
 
201
 
202
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.