OpenCores
URL https://opencores.org/ocsvn/v65c816/v65c816/trunk

Subversion Repositories v65c816

[/] [v65c816/] [trunk/] [spr.vhd] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 Valerio63
library IEEE;
2
use IEEE.std_logic_1164.all;  -- defines std_logic types
3
use IEEE.STD_LOGIC_unsigned.all;
4
use IEEE.STD_LOGIC_arith.all;
5
 
6
-- 8/16 bit stack pointer register "S"
7
entity spr is
8
  port(   clk:  in STD_LOGIC;
9
          clr:  in STD_LOGIC;
10
        fwait:  in STD_LOGIC;
11
                     em:  in STD_LOGIC;
12
         ld_l:  in STD_LOGIC;
13
         ld_h:  in STD_LOGIC;
14
            u:  in STD_LOGIC;
15
            d:  in STD_LOGIC;
16
          din:  in STD_LOGIC_VECTOR(15 downto 0);
17
         dout: out STD_LOGIC_VECTOR(15 downto 0)
18
      );
19
end spr;
20
 
21
architecture rtl of spr is
22
constant SP_6502_VALUE:    STD_LOGIC_VECTOR(15 downto 0)  := "0000000111111111"; -- $01FF standard 6502 stack pointer
23
signal   x: STD_LOGIC_VECTOR(3 downto 0);
24
signal reg: STD_LOGIC_VECTOR(15 downto 0);
25
 
26
begin
27
  x(0) <= ld_l;
28
  x(1) <= ld_h;
29
  x(2) <= u;
30
  x(3) <= d;
31
  process(clk)
32
    begin
33
      if (clk'event and clk = '1') then
34
        if fwait = '1' then
35
          reg <= reg;
36
        else
37
          if clr = '1' then
38
            reg <= SP_6502_VALUE;
39
          else
40
                           if em = '0' then                                                -- native mode (S full 16 bit) 
41
                                        case x is
42
                                          when "0001"  => reg(7 downto 0)  <= din(7 downto 0);
43
                                                                                        reg(15 downto 8) <= reg(15 downto 8);
44
                                          when "0010"  => reg(15 downto 8) <= din(7 downto 0);
45
                                                                                        reg(7 downto 0)  <= reg(7 downto 0);
46
                                          when "0011"  => reg <= din;
47
                                          when "0100"  => reg <= reg + 1;
48
                                          when "1000"  => reg <= reg - 1;
49
                                          when others  => reg <= reg;
50
                                        end case;
51
                                else                                                            -- emulation mode (S is forced to 0x01XX)
52
                                        case x is
53
                                          when "0001"  => reg(7 downto 0) <= din(7 downto 0);
54
                                          when "0011"  => reg(7 downto 0) <= din(7 downto 0);
55
                                          when "0100"  => reg(7 downto 0) <= reg(7 downto 0) + 1;
56
                                          when "1000"  => reg(7 downto 0) <= reg(7 downto 0) - 1;
57
                                          when others  => reg(7 downto 0) <= reg(7 downto 0);
58
                                        end case;
59
                                   reg(15 downto 8) <= SP_6502_VALUE(15 downto 8);
60
                                end if;
61
          end if;
62
        end if;
63
      end if;
64
  end process;
65
  dout <= reg;
66
end rtl;
67
 
68
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.