OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] [versatile_library/] [trunk/] [rtl/] [verilog/] [memories.v] - Blame information for rev 94

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 unneback
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Versatile library, memories                                 ////
4
////                                                              ////
5
////  Description                                                 ////
6
////  memories                                                    ////
7
////                                                              ////
8
////                                                              ////
9
////  To Do:                                                      ////
10
////   - add more memory types                                    ////
11
////                                                              ////
12
////  Author(s):                                                  ////
13
////      - Michael Unneback, unneback@opencores.org              ////
14
////        ORSoC AB                                              ////
15
////                                                              ////
16
//////////////////////////////////////////////////////////////////////
17
////                                                              ////
18
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
19
////                                                              ////
20
//// This source file may be used and distributed without         ////
21
//// restriction provided that this copyright statement is not    ////
22
//// removed from the file and that any derivative work contains  ////
23
//// the original copyright notice and the associated disclaimer. ////
24
////                                                              ////
25
//// This source file is free software; you can redistribute it   ////
26
//// and/or modify it under the terms of the GNU Lesser General   ////
27
//// Public License as published by the Free Software Foundation; ////
28
//// either version 2.1 of the License, or (at your option) any   ////
29
//// later version.                                               ////
30
////                                                              ////
31
//// This source is distributed in the hope that it will be       ////
32
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
33
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
34
//// PURPOSE.  See the GNU Lesser General Public License for more ////
35
//// details.                                                     ////
36
////                                                              ////
37
//// You should have received a copy of the GNU Lesser General    ////
38
//// Public License along with this source; if not, download it   ////
39
//// from http://www.opencores.org/lgpl.shtml                     ////
40
////                                                              ////
41
//////////////////////////////////////////////////////////////////////
42
 
43 40 unneback
`ifdef ROM_INIT
44 5 unneback
/// ROM
45 40 unneback
`define MODULE rom_init
46
module `BASE`MODULE ( adr, q, clk);
47
`undef MODULE
48 5 unneback
 
49 7 unneback
   parameter data_width = 32;
50
   parameter addr_width = 8;
51 75 unneback
   parameter mem_size = 1<<addr_width;
52 7 unneback
   input [(addr_width-1):0]       adr;
53
   output reg [(data_width-1):0] q;
54
   input                         clk;
55 75 unneback
   reg [data_width-1:0] rom [mem_size-1:0];
56 7 unneback
   parameter memory_file = "vl_rom.vmem";
57
   initial
58
     begin
59
        $readmemh(memory_file, rom);
60
     end
61
 
62
   always @ (posedge clk)
63
     q <= rom[adr];
64 5 unneback
 
65 7 unneback
endmodule
66 40 unneback
`endif
67 7 unneback
 
68 40 unneback
`ifdef RAM
69
`define MODULE ram
70 5 unneback
// Single port RAM
71 40 unneback
module `BASE`MODULE ( d, adr, we, q, clk);
72
`undef MODULE
73 5 unneback
 
74
   parameter data_width = 32;
75
   parameter addr_width = 8;
76 75 unneback
   parameter mem_size = 1<<addr_width;
77 5 unneback
   input [(data_width-1):0]      d;
78
   input [(addr_width-1):0]       adr;
79
   input                         we;
80 7 unneback
   output reg [(data_width-1):0] q;
81 5 unneback
   input                         clk;
82 75 unneback
   reg [data_width-1:0] ram [mem_szie-1:0];
83 7 unneback
   parameter init = 0;
84
   parameter memory_file = "vl_ram.vmem";
85
   generate if (init) begin : init_mem
86
   initial
87
     begin
88
        $readmemh(memory_file, ram);
89
     end
90
   end
91
   endgenerate
92
 
93 5 unneback
   always @ (posedge clk)
94
   begin
95
   if (we)
96
     ram[adr] <= d;
97
   q <= ram[adr];
98
   end
99
 
100
endmodule
101 40 unneback
`endif
102 5 unneback
 
103 40 unneback
`ifdef RAM_BE
104
`define MODULE ram_be
105 91 unneback
module `BASE`MODULE ( d, adr, be, we, q, clk);
106 40 unneback
`undef MODULE
107
 
108 7 unneback
   parameter data_width = 32;
109 72 unneback
   parameter addr_width = 6;
110 75 unneback
   parameter mem_size = 1<<addr_width;
111 7 unneback
   input [(data_width-1):0]      d;
112
   input [(addr_width-1):0]       adr;
113 73 unneback
   input [(data_width/8)-1:0]    be;
114 7 unneback
   input                         we;
115
   output reg [(data_width-1):0] q;
116
   input                         clk;
117
 
118 84 unneback
 
119 65 unneback
//E2_ifdef SYSTEMVERILOG
120 68 unneback
   logic [data_width/8-1:0][7:0] ram[0:mem_size-1];// # words = 1 << address width
121 65 unneback
//E2_else
122 84 unneback
    reg [data_width-1:0] ram [mem_size-1:0];
123
    wire [data_width/8-1:0] cke;
124 65 unneback
//E2_endif
125
 
126 60 unneback
   parameter memory_init = 0;
127 7 unneback
   parameter memory_file = "vl_ram.vmem";
128 60 unneback
   generate if (memory_init) begin : init_mem
129 7 unneback
   initial
130
     begin
131
        $readmemh(memory_file, ram);
132
     end
133
   end
134
   endgenerate
135
 
136 60 unneback
//E2_ifdef SYSTEMVERILOG
137
// use a multi-dimensional packed array
138
//to model individual bytes within the word
139
 
140
always_ff@(posedge clk)
141
begin
142
    if(we) begin // note: we should have a for statement to support any bus width
143 86 unneback
        if(be[3]) ram[adr][3] <= d[31:24];
144
        if(be[2]) ram[adr][2] <= d[23:16];
145
        if(be[1]) ram[adr][1] <= d[15:8];
146
        if(be[0]) ram[adr][0] <= d[7:0];
147 60 unneback
    end
148 90 unneback
        q <= ram[adr];
149 60 unneback
end
150
 
151
//E2_else
152
 
153 84 unneback
assign cke = {data_width/8{we}} & be;
154 7 unneback
   genvar i;
155 84 unneback
   generate for (i=0;i<data_width/8;i=i+1) begin : be_ram
156 7 unneback
      always @ (posedge clk)
157 84 unneback
      if (cke[i])
158 7 unneback
        ram[adr][(i+1)*8-1:i*8] <= d[(i+1)*8-1:i*8];
159
   end
160
   endgenerate
161
 
162
   always @ (posedge clk)
163
      q <= ram[adr];
164
 
165 60 unneback
//E2_endif
166
 
167 93 unneback
//E2_ifdef verilator
168 84 unneback
   // Function to access RAM (for use by Verilator).
169
   function [31:0] get_mem;
170
      // verilator public
171 90 unneback
      input [addr_width-1:0]             addr;
172 84 unneback
      get_mem = ram[addr];
173
   endfunction // get_mem
174
 
175
   // Function to write RAM (for use by Verilator).
176
   function set_mem;
177
      // verilator public
178 90 unneback
      input [addr_width-1:0]             addr;
179
      input [data_width-1:0]             data;
180 84 unneback
      ram[addr] = data;
181
   endfunction // set_mem
182 93 unneback
//E2_endif
183 84 unneback
 
184 7 unneback
endmodule
185 40 unneback
`endif
186 7 unneback
 
187 5 unneback
`ifdef ACTEL
188 48 unneback
        // ACTEL FPGA should not use logic to handle rw collision
189 5 unneback
        `define SYN /*synthesis syn_ramstyle = "no_rw_check"*/
190
`else
191
        `define SYN
192
`endif
193
 
194 40 unneback
`ifdef DPRAM_1R1W
195
`define MODULE dpram_1r1w
196
module `BASE`MODULE ( d_a, adr_a, we_a, clk_a, q_b, adr_b, clk_b );
197
`undef MODULE
198 5 unneback
   parameter data_width = 32;
199
   parameter addr_width = 8;
200 75 unneback
   parameter mem_size = 1<<addr_width;
201 5 unneback
   input [(data_width-1):0]      d_a;
202
   input [(addr_width-1):0]       adr_a;
203
   input [(addr_width-1):0]       adr_b;
204
   input                         we_a;
205
   output [(data_width-1):0]      q_b;
206
   input                         clk_a, clk_b;
207
   reg [(addr_width-1):0]         adr_b_reg;
208 75 unneback
   reg [data_width-1:0] ram [mem_szie-1:0] `SYN;
209 7 unneback
 
210
   parameter init = 0;
211
   parameter memory_file = "vl_ram.vmem";
212
   generate if (init) begin : init_mem
213
   initial
214
     begin
215
        $readmemh(memory_file, ram);
216
     end
217
   end
218
   endgenerate
219
 
220 5 unneback
   always @ (posedge clk_a)
221
   if (we_a)
222
     ram[adr_a] <= d_a;
223
   always @ (posedge clk_b)
224
   adr_b_reg <= adr_b;
225
   assign q_b = ram[adr_b_reg];
226 40 unneback
 
227 5 unneback
endmodule
228 40 unneback
`endif
229 5 unneback
 
230 40 unneback
`ifdef DPRAM_2R1W
231
`define MODULE dpram_2r1w
232
module `BASE`MODULE ( d_a, q_a, adr_a, we_a, clk_a, q_b, adr_b, clk_b );
233
`undef MODULE
234
 
235 5 unneback
   parameter data_width = 32;
236
   parameter addr_width = 8;
237 75 unneback
   parameter mem_size = 1<<addr_width;
238 5 unneback
   input [(data_width-1):0]      d_a;
239
   input [(addr_width-1):0]       adr_a;
240
   input [(addr_width-1):0]       adr_b;
241
   input                         we_a;
242
   output [(data_width-1):0]      q_b;
243
   output reg [(data_width-1):0] q_a;
244
   input                         clk_a, clk_b;
245
   reg [(data_width-1):0]         q_b;
246 75 unneback
   reg [data_width-1:0] ram [mem_szie-1:0] `SYN;
247 7 unneback
 
248
   parameter init = 0;
249
   parameter memory_file = "vl_ram.vmem";
250
   generate if (init) begin : init_mem
251
   initial
252
     begin
253
        $readmemh(memory_file, ram);
254
     end
255
   end
256
   endgenerate
257
 
258 5 unneback
   always @ (posedge clk_a)
259
     begin
260
        q_a <= ram[adr_a];
261
        if (we_a)
262
             ram[adr_a] <= d_a;
263
     end
264
   always @ (posedge clk_b)
265
          q_b <= ram[adr_b];
266
endmodule
267 40 unneback
`endif
268 5 unneback
 
269 40 unneback
`ifdef DPRAM_2R2W
270
`define MODULE dpram_2r2w
271
module `BASE`MODULE ( d_a, q_a, adr_a, we_a, clk_a, d_b, q_b, adr_b, we_b, clk_b );
272
`undef MODULE
273
 
274 5 unneback
   parameter data_width = 32;
275
   parameter addr_width = 8;
276 75 unneback
   parameter mem_size = 1<<addr_width;
277 5 unneback
   input [(data_width-1):0]      d_a;
278
   input [(addr_width-1):0]       adr_a;
279
   input [(addr_width-1):0]       adr_b;
280
   input                         we_a;
281
   output [(data_width-1):0]      q_b;
282
   input [(data_width-1):0]       d_b;
283
   output reg [(data_width-1):0] q_a;
284
   input                         we_b;
285
   input                         clk_a, clk_b;
286
   reg [(data_width-1):0]         q_b;
287 75 unneback
   reg [data_width-1:0] ram [mem_size-1:0] `SYN;
288 7 unneback
 
289
   parameter init = 0;
290
   parameter memory_file = "vl_ram.vmem";
291
   generate if (init) begin : init_mem
292
   initial
293
     begin
294
        $readmemh(memory_file, ram);
295
     end
296
   end
297
   endgenerate
298
 
299 5 unneback
   always @ (posedge clk_a)
300
     begin
301
        q_a <= ram[adr_a];
302
        if (we_a)
303
             ram[adr_a] <= d_a;
304
     end
305
   always @ (posedge clk_b)
306
     begin
307
        q_b <= ram[adr_b];
308
        if (we_b)
309
          ram[adr_b] <= d_b;
310
     end
311
endmodule
312 40 unneback
`endif
313 5 unneback
 
314 83 unneback
 
315 75 unneback
`ifdef DPRAM_BE_2R2W
316
`define MODULE dpram_be_2r2w
317 92 unneback
module `BASE`MODULE ( d_a, q_a, adr_a, be_a, we_a, clk_a, d_b, q_b, adr_b, be_b, we_b, clk_b );
318 75 unneback
`undef MODULE
319
 
320
   parameter a_data_width = 32;
321
   parameter a_addr_width = 8;
322 92 unneback
   parameter b_data_width = a_data_width;
323 91 unneback
   localparam b_addr_width = a_data_width * a_addr_width / b_data_width;
324
   parameter mem_size = (a_addr_width>b_addr_width) ? (1<<a_addr_width) : (1<<b_addr_width);
325
 
326 75 unneback
   input [(a_data_width-1):0]      d_a;
327 91 unneback
   input [(a_addr_width-1):0]       adr_a;
328
   input [(a_data_width/8-1):0]    be_a;
329
   input                           we_a;
330 75 unneback
   output reg [(a_data_width-1):0] q_a;
331 91 unneback
   input [(b_data_width-1):0]       d_b;
332
   input [(b_addr_width-1):0]       adr_b;
333 92 unneback
   input [(b_data_width/8-1):0]    be_b;
334
   input                           we_b;
335
   output reg [(b_data_width-1):0]          q_b;
336 91 unneback
   input                           clk_a, clk_b;
337 75 unneback
 
338 91 unneback
//E2_ifdef SYSTEMVERILOG
339
// use a multi-dimensional packed array
340
//to model individual bytes within the word
341
 
342 75 unneback
generate
343 91 unneback
if (a_data_width==32 & b_data_width==32) begin : dpram_3232
344 75 unneback
 
345 91 unneback
   logic [3:0][7:0] ram [0:mem_size-1];
346
 
347
    always_ff@(posedge clk_a)
348
    begin
349
        if(we_a) begin
350
            if(be_a[3]) ram[adr_a][3] <= d_a[31:24];
351
            if(be_a[2]) ram[adr_a][2] <= d_a[23:16];
352
            if(be_a[1]) ram[adr_a][1] <= d_a[15:8];
353
            if(be_a[0]) ram[adr_a][0] <= d_a[7:0];
354
        end
355
    end
356
 
357 92 unneback
    always@(posedge clk_a)
358
        q_a = ram[adr_a];
359 91 unneback
 
360
    always_ff@(posedge clk_b)
361 92 unneback
    begin
362
        if(we_b) begin
363
            if(be_b[3]) ram[adr_b][3] <= d_b[31:24];
364
            if(be_b[2]) ram[adr_b][2] <= d_b[23:16];
365
            if(be_b[1]) ram[adr_b][1] <= d_b[15:8];
366
            if(be_b[0]) ram[adr_b][0] <= d_b[7:0];
367
        end
368
    end
369 91 unneback
 
370 92 unneback
    always@(posedge clk_b)
371
        q_b = ram[adr_b];
372 91 unneback
 
373 75 unneback
end
374
endgenerate
375
 
376 91 unneback
//E2_else
377 92 unneback
    // This modules requires SystemVerilog
378 91 unneback
//E2_endif
379 75 unneback
endmodule
380
`endif
381
 
382 91 unneback
`ifdef CAM
383 5 unneback
// Content addresable memory, CAM
384 91 unneback
`endif
385 5 unneback
 
386 40 unneback
`ifdef FIFO_1R1W_FILL_LEVEL_SYNC
387 5 unneback
// FIFO
388 40 unneback
`define MODULE fifo_1r1w_fill_level_sync
389
module `BASE`MODULE (
390
`undef MODULE
391 25 unneback
    d, wr, fifo_full,
392
    q, rd, fifo_empty,
393
    fill_level,
394
    clk, rst
395
    );
396
 
397
parameter data_width = 18;
398
parameter addr_width = 4;
399 5 unneback
 
400 25 unneback
// write side
401
input  [data_width-1:0] d;
402
input                   wr;
403
output                  fifo_full;
404
// read side
405
output [data_width-1:0] q;
406
input                   rd;
407
output                  fifo_empty;
408
// common
409
output [addr_width:0]   fill_level;
410
input rst, clk;
411
 
412
wire [addr_width:1] wadr, radr;
413
 
414 40 unneback
`define MODULE cnt_bin_ce
415
`BASE`MODULE
416 25 unneback
    # ( .length(addr_width))
417
    fifo_wr_adr( .cke(wr), .q(wadr), .rst(rst), .clk(clk));
418 40 unneback
`BASE`MODULE
419 25 unneback
    # (.length(addr_width))
420
    fifo_rd_adr( .cke(rd), .q(radr), .rst(rst), .clk(clk));
421 40 unneback
`undef MODULE
422 25 unneback
 
423 40 unneback
`define MODULE dpram_1r1w
424
`BASE`MODULE
425 25 unneback
    # (.data_width(data_width), .addr_width(addr_width))
426
    dpram ( .d_a(d), .adr_a(wadr), .we_a(wr), .clk_a(clk), .q_b(q), .adr_b(radr), .clk_b(clk));
427 40 unneback
`undef MODULE
428 25 unneback
 
429 40 unneback
`define MODULE cnt_bin_ce_rew_q_zq_l1
430
`BASE`MODULE
431 27 unneback
    # (.length(addr_width+1), .level1_value(1<<addr_width))
432 25 unneback
    fill_level_cnt( .cke(rd ^ wr), .rew(rd), .q(fill_level), .zq(fifo_empty), .level1(fifo_full), .rst(rst), .clk(clk));
433 40 unneback
`undef MODULE
434 25 unneback
endmodule
435 40 unneback
`endif
436 25 unneback
 
437 40 unneback
`ifdef FIFO_2R2W_SYNC_SIMPLEX
438 27 unneback
// Intended use is two small FIFOs (RX and TX typically) in one FPGA RAM resource
439
// RAM is supposed to be larger than the two FIFOs
440
// LFSR counters used adr pointers
441 40 unneback
`define MODULE fifo_2r2w_sync_simplex
442
module `BASE`MODULE (
443
`undef MODULE
444 27 unneback
    // a side
445
    a_d, a_wr, a_fifo_full,
446
    a_q, a_rd, a_fifo_empty,
447
    a_fill_level,
448
    // b side
449
    b_d, b_wr, b_fifo_full,
450
    b_q, b_rd, b_fifo_empty,
451
    b_fill_level,
452
    // common
453
    clk, rst
454
    );
455
parameter data_width = 8;
456
parameter addr_width = 5;
457
parameter fifo_full_level = (1<<addr_width)-1;
458
 
459
// a side
460
input  [data_width-1:0] a_d;
461
input                   a_wr;
462
output                  a_fifo_full;
463
output [data_width-1:0] a_q;
464
input                   a_rd;
465
output                  a_fifo_empty;
466
output [addr_width-1:0] a_fill_level;
467
 
468
// b side
469
input  [data_width-1:0] b_d;
470
input                   b_wr;
471
output                  b_fifo_full;
472
output [data_width-1:0] b_q;
473
input                   b_rd;
474
output                  b_fifo_empty;
475
output [addr_width-1:0] b_fill_level;
476
 
477
input                   clk;
478
input                   rst;
479
 
480
// adr_gen
481
wire [addr_width:1] a_wadr, a_radr;
482
wire [addr_width:1] b_wadr, b_radr;
483
// dpram
484
wire [addr_width:0] a_dpram_adr, b_dpram_adr;
485
 
486 40 unneback
`define MODULE cnt_lfsr_ce
487
`BASE`MODULE
488 27 unneback
    # ( .length(addr_width))
489
    fifo_a_wr_adr( .cke(a_wr), .q(a_wadr), .rst(rst), .clk(clk));
490
 
491 40 unneback
`BASE`MODULE
492 27 unneback
    # (.length(addr_width))
493
    fifo_a_rd_adr( .cke(a_rd), .q(a_radr), .rst(rst), .clk(clk));
494
 
495 40 unneback
`BASE`MODULE
496 27 unneback
    # ( .length(addr_width))
497
    fifo_b_wr_adr( .cke(b_wr), .q(b_wadr), .rst(rst), .clk(clk));
498
 
499 40 unneback
`BASE`MODULE
500 27 unneback
    # (.length(addr_width))
501
    fifo_b_rd_adr( .cke(b_rd), .q(b_radr), .rst(rst), .clk(clk));
502 40 unneback
`undef MODULE
503 27 unneback
 
504
// mux read or write adr to DPRAM
505
assign a_dpram_adr = (a_wr) ? {1'b0,a_wadr} : {1'b1,a_radr};
506
assign b_dpram_adr = (b_wr) ? {1'b1,b_wadr} : {1'b0,b_radr};
507
 
508 40 unneback
`define MODULE dpram_2r2w
509
`BASE`MODULE
510 27 unneback
    # (.data_width(data_width), .addr_width(addr_width+1))
511
    dpram ( .d_a(a_d), .q_a(a_q), .adr_a(a_dpram_adr), .we_a(a_wr), .clk_a(a_clk),
512
            .d_b(b_d), .q_b(b_q), .adr_b(b_dpram_adr), .we_b(b_wr), .clk_b(b_clk));
513 40 unneback
`undef MODULE
514
 
515
`define MODULE cnt_bin_ce_rew_zq_l1
516
`BASE`MODULE
517 28 unneback
    # (.length(addr_width), .level1_value(fifo_full_level))
518 27 unneback
    a_fill_level_cnt( .cke(a_rd ^ a_wr), .rew(a_rd), .q(a_fill_level), .zq(a_fifo_empty), .level1(a_fifo_full), .rst(rst), .clk(clk));
519
 
520 40 unneback
`BASE`MODULE
521 28 unneback
    # (.length(addr_width), .level1_value(fifo_full_level))
522 27 unneback
    b_fill_level_cnt( .cke(b_rd ^ b_wr), .rew(b_rd), .q(b_fill_level), .zq(b_fifo_empty), .level1(b_fifo_full), .rst(rst), .clk(clk));
523 40 unneback
`undef MODULE
524 27 unneback
 
525
endmodule
526 40 unneback
`endif
527 27 unneback
 
528 40 unneback
`ifdef FIFO_CMP_ASYNC
529
`define MODULE fifo_cmp_async
530
module `BASE`MODULE ( wptr, rptr, fifo_empty, fifo_full, wclk, rclk, rst );
531
`undef MODULE
532 5 unneback
 
533 11 unneback
   parameter addr_width = 4;
534
   parameter N = addr_width-1;
535 5 unneback
 
536
   parameter Q1 = 2'b00;
537
   parameter Q2 = 2'b01;
538
   parameter Q3 = 2'b11;
539
   parameter Q4 = 2'b10;
540
 
541
   parameter going_empty = 1'b0;
542
   parameter going_full  = 1'b1;
543
 
544
   input [N:0]  wptr, rptr;
545 14 unneback
   output       fifo_empty;
546 5 unneback
   output       fifo_full;
547
   input        wclk, rclk, rst;
548
 
549
`ifndef GENERATE_DIRECTION_AS_LATCH
550
   wire direction;
551
`endif
552
`ifdef GENERATE_DIRECTION_AS_LATCH
553
   reg direction;
554
`endif
555
   reg  direction_set, direction_clr;
556
 
557
   wire async_empty, async_full;
558
   wire fifo_full2;
559 14 unneback
   wire fifo_empty2;
560 5 unneback
 
561
   // direction_set
562
   always @ (wptr[N:N-1] or rptr[N:N-1])
563
     case ({wptr[N:N-1],rptr[N:N-1]})
564
       {Q1,Q2} : direction_set <= 1'b1;
565
       {Q2,Q3} : direction_set <= 1'b1;
566
       {Q3,Q4} : direction_set <= 1'b1;
567
       {Q4,Q1} : direction_set <= 1'b1;
568
       default : direction_set <= 1'b0;
569
     endcase
570
 
571
   // direction_clear
572
   always @ (wptr[N:N-1] or rptr[N:N-1] or rst)
573
     if (rst)
574
       direction_clr <= 1'b1;
575
     else
576
       case ({wptr[N:N-1],rptr[N:N-1]})
577
         {Q2,Q1} : direction_clr <= 1'b1;
578
         {Q3,Q2} : direction_clr <= 1'b1;
579
         {Q4,Q3} : direction_clr <= 1'b1;
580
         {Q1,Q4} : direction_clr <= 1'b1;
581
         default : direction_clr <= 1'b0;
582
       endcase
583
 
584 40 unneback
`define MODULE dff_sr
585 5 unneback
`ifndef GENERATE_DIRECTION_AS_LATCH
586 40 unneback
    `BASE`MODULE dff_sr_dir( .aclr(direction_clr), .aset(direction_set), .clock(1'b1), .data(1'b1), .q(direction));
587 5 unneback
`endif
588
 
589
`ifdef GENERATE_DIRECTION_AS_LATCH
590
   always @ (posedge direction_set or posedge direction_clr)
591
     if (direction_clr)
592
       direction <= going_empty;
593
     else
594
       direction <= going_full;
595
`endif
596
 
597
   assign async_empty = (wptr == rptr) && (direction==going_empty);
598
   assign async_full  = (wptr == rptr) && (direction==going_full);
599
 
600 40 unneback
    `BASE`MODULE dff_sr_empty0( .aclr(rst), .aset(async_full), .clock(wclk), .data(async_full), .q(fifo_full2));
601
    `BASE`MODULE dff_sr_empty1( .aclr(rst), .aset(async_full), .clock(wclk), .data(fifo_full2), .q(fifo_full));
602
`undef MODULE
603 5 unneback
 
604
/*
605
   always @ (posedge wclk or posedge rst or posedge async_full)
606
     if (rst)
607
       {fifo_full, fifo_full2} <= 2'b00;
608
     else if (async_full)
609
       {fifo_full, fifo_full2} <= 2'b11;
610
     else
611
       {fifo_full, fifo_full2} <= {fifo_full2, async_full};
612
*/
613 14 unneback
/*   always @ (posedge rclk or posedge async_empty)
614 5 unneback
     if (async_empty)
615
       {fifo_empty, fifo_empty2} <= 2'b11;
616
     else
617 14 unneback
       {fifo_empty,fifo_empty2} <= {fifo_empty2,async_empty}; */
618 40 unneback
`define MODULE dff
619
    `BASE`MODULE # ( .reset_value(1'b1)) dff0 ( .d(async_empty), .q(fifo_empty2), .clk(rclk), .rst(async_empty));
620
    `BASE`MODULE # ( .reset_value(1'b1)) dff1 ( .d(fifo_empty2), .q(fifo_empty),  .clk(rclk), .rst(async_empty));
621
`undef MODULE
622 25 unneback
endmodule // async_compb
623 40 unneback
`endif
624 5 unneback
 
625 40 unneback
`ifdef FIFO_1R1W_ASYNC
626
`define MODULE fifo_1r1w_async
627
module `BASE`MODULE (
628
`undef MODULE
629 5 unneback
    d, wr, fifo_full, wr_clk, wr_rst,
630
    q, rd, fifo_empty, rd_clk, rd_rst
631
    );
632
 
633
parameter data_width = 18;
634
parameter addr_width = 4;
635
 
636
// write side
637
input  [data_width-1:0] d;
638
input                   wr;
639
output                  fifo_full;
640
input                   wr_clk;
641
input                   wr_rst;
642
// read side
643
output [data_width-1:0] q;
644
input                   rd;
645
output                  fifo_empty;
646
input                   rd_clk;
647
input                   rd_rst;
648
 
649
wire [addr_width:1] wadr, wadr_bin, radr, radr_bin;
650 23 unneback
 
651 40 unneback
`define MODULE cnt_gray_ce_bin
652
`BASE`MODULE
653 5 unneback
    # ( .length(addr_width))
654
    fifo_wr_adr( .cke(wr), .q(wadr), .q_bin(wadr_bin), .rst(wr_rst), .clk(wr_clk));
655
 
656 40 unneback
`BASE`MODULE
657 5 unneback
    # (.length(addr_width))
658 23 unneback
    fifo_rd_adr( .cke(rd), .q(radr), .q_bin(radr_bin), .rst(rd_rst), .clk(rd_clk));
659 40 unneback
`undef MODULE
660 5 unneback
 
661 40 unneback
`define MODULE dpram_1r1w
662
`BASE`MODULE
663 5 unneback
    # (.data_width(data_width), .addr_width(addr_width))
664
    dpram ( .d_a(d), .adr_a(wadr_bin), .we_a(wr), .clk_a(wr_clk), .q_b(q), .adr_b(radr_bin), .clk_b(rd_clk));
665 40 unneback
`undef MODULE
666 5 unneback
 
667 40 unneback
`define MODULE fifo_cmp_async
668
`BASE`MODULE
669 5 unneback
    # (.addr_width(addr_width))
670
    cmp ( .wptr(wadr), .rptr(radr), .fifo_empty(fifo_empty), .fifo_full(fifo_full), .wclk(wr_clk), .rclk(rd_clk), .rst(wr_rst) );
671 40 unneback
`undef MODULE
672 5 unneback
 
673
endmodule
674 40 unneback
`endif
675 5 unneback
 
676 40 unneback
`ifdef FIFO_2R2W_ASYNC
677
`define MODULE fifo_2r2w_async
678
module `BASE`MODULE (
679
`undef MODULE
680 5 unneback
    // a side
681
    a_d, a_wr, a_fifo_full,
682
    a_q, a_rd, a_fifo_empty,
683
    a_clk, a_rst,
684
    // b side
685
    b_d, b_wr, b_fifo_full,
686
    b_q, b_rd, b_fifo_empty,
687
    b_clk, b_rst
688
    );
689
 
690
parameter data_width = 18;
691
parameter addr_width = 4;
692
 
693
// a side
694
input  [data_width-1:0] a_d;
695
input                   a_wr;
696
output                  a_fifo_full;
697
output [data_width-1:0] a_q;
698
input                   a_rd;
699
output                  a_fifo_empty;
700
input                   a_clk;
701
input                   a_rst;
702
 
703
// b side
704
input  [data_width-1:0] b_d;
705
input                   b_wr;
706
output                  b_fifo_full;
707
output [data_width-1:0] b_q;
708
input                   b_rd;
709
output                  b_fifo_empty;
710
input                   b_clk;
711
input                   b_rst;
712
 
713 40 unneback
`define MODULE fifo_1r1w_async
714
`BASE`MODULE # (.data_width(data_width), .addr_width(addr_width))
715 5 unneback
vl_fifo_1r1w_async_a (
716
    .d(a_d), .wr(a_wr), .fifo_full(a_fifo_full), .wr_clk(a_clk), .wr_rst(a_rst),
717
    .q(b_q), .rd(b_rd), .fifo_empty(b_fifo_empty), .rd_clk(b_clk), .rd_rst(b_rst)
718
    );
719
 
720 40 unneback
`BASE`MODULE # (.data_width(data_width), .addr_width(addr_width))
721 5 unneback
vl_fifo_1r1w_async_b (
722
    .d(b_d), .wr(b_wr), .fifo_full(b_fifo_full), .wr_clk(b_clk), .wr_rst(b_rst),
723
    .q(a_q), .rd(a_rd), .fifo_empty(a_fifo_empty), .rd_clk(a_clk), .rd_rst(a_rst)
724
    );
725 40 unneback
`undef MODULE
726
 
727 5 unneback
endmodule
728 40 unneback
`endif
729 5 unneback
 
730 40 unneback
`ifdef FIFO_2R2W_ASYNC_SIMPLEX
731
`define MODULE fifo_2r2w_async_simplex
732
module `BASE`MODULE (
733
`undef MODULE
734 5 unneback
    // a side
735
    a_d, a_wr, a_fifo_full,
736
    a_q, a_rd, a_fifo_empty,
737
    a_clk, a_rst,
738
    // b side
739
    b_d, b_wr, b_fifo_full,
740
    b_q, b_rd, b_fifo_empty,
741
    b_clk, b_rst
742
    );
743
 
744
parameter data_width = 18;
745
parameter addr_width = 4;
746
 
747
// a side
748
input  [data_width-1:0] a_d;
749
input                   a_wr;
750
output                  a_fifo_full;
751
output [data_width-1:0] a_q;
752
input                   a_rd;
753
output                  a_fifo_empty;
754
input                   a_clk;
755
input                   a_rst;
756
 
757
// b side
758
input  [data_width-1:0] b_d;
759
input                   b_wr;
760
output                  b_fifo_full;
761
output [data_width-1:0] b_q;
762
input                   b_rd;
763
output                  b_fifo_empty;
764
input                   b_clk;
765
input                   b_rst;
766
 
767
// adr_gen
768
wire [addr_width:1] a_wadr, a_wadr_bin, a_radr, a_radr_bin;
769
wire [addr_width:1] b_wadr, b_wadr_bin, b_radr, b_radr_bin;
770
// dpram
771
wire [addr_width:0] a_dpram_adr, b_dpram_adr;
772
 
773 40 unneback
`define MODULE cnt_gray_ce_bin
774
`BASE`MODULE
775 5 unneback
    # ( .length(addr_width))
776
    fifo_a_wr_adr( .cke(a_wr), .q(a_wadr), .q_bin(a_wadr_bin), .rst(a_rst), .clk(a_clk));
777
 
778 40 unneback
`BASE`MODULE
779 5 unneback
    # (.length(addr_width))
780
    fifo_a_rd_adr( .cke(a_rd), .q(a_radr), .q_bin(a_radr_bin), .rst(a_rst), .clk(a_clk));
781
 
782 40 unneback
`BASE`MODULE
783 5 unneback
    # ( .length(addr_width))
784
    fifo_b_wr_adr( .cke(b_wr), .q(b_wadr), .q_bin(b_wadr_bin), .rst(b_rst), .clk(b_clk));
785
 
786 40 unneback
`BASE`MODULE
787 5 unneback
    # (.length(addr_width))
788
    fifo_b_rd_adr( .cke(b_rd), .q(b_radr), .q_bin(b_radr_bin), .rst(b_rst), .clk(b_clk));
789 40 unneback
`undef MODULE
790 5 unneback
 
791
// mux read or write adr to DPRAM
792
assign a_dpram_adr = (a_wr) ? {1'b0,a_wadr_bin} : {1'b1,a_radr_bin};
793
assign b_dpram_adr = (b_wr) ? {1'b1,b_wadr_bin} : {1'b0,b_radr_bin};
794
 
795 40 unneback
`define MODULE dpram_2r2w
796
`BASE`MODULE
797 5 unneback
    # (.data_width(data_width), .addr_width(addr_width+1))
798
    dpram ( .d_a(a_d), .q_a(a_q), .adr_a(a_dpram_adr), .we_a(a_wr), .clk_a(a_clk),
799
            .d_b(b_d), .q_b(b_q), .adr_b(b_dpram_adr), .we_b(b_wr), .clk_b(b_clk));
800 40 unneback
`undef MODULE
801 5 unneback
 
802 40 unneback
`define MODULE fifo_cmp_async
803
`BASE`MODULE
804 5 unneback
    # (.addr_width(addr_width))
805
    cmp1 ( .wptr(a_wadr), .rptr(b_radr), .fifo_empty(b_fifo_empty), .fifo_full(a_fifo_full), .wclk(a_clk), .rclk(b_clk), .rst(a_rst) );
806
 
807 40 unneback
`BASE`MODULE
808 5 unneback
    # (.addr_width(addr_width))
809
    cmp2 ( .wptr(b_wadr), .rptr(a_radr), .fifo_empty(a_fifo_empty), .fifo_full(b_fifo_full), .wclk(b_clk), .rclk(a_clk), .rst(b_rst) );
810 40 unneback
`undef MODULE
811 5 unneback
 
812
endmodule
813 40 unneback
`endif
814 48 unneback
 
815
`ifdef REG_FILE
816
`define MODULE reg_file
817
module `BASE`MODULE (
818
`undef MODULE
819
    a1, a2, a3, wd3, we3, rd1, rd2, clk
820
);
821
parameter data_width = 32;
822
parameter addr_width = 5;
823
input [addr_width-1:0] a1, a2, a3;
824
input [data_width-1:0] wd3;
825
input we3;
826
output [data_width-1:0] rd1, rd2;
827
input clk;
828
 
829
`ifdef ACTEL
830
reg [data_width-1:0] wd3_reg;
831
reg [addr_width-1:0] a1_reg, a2_reg, a3_reg;
832
reg we3_reg;
833
reg [data_width-1:0] ram1 [(1<<addr_width)-1:0] `SYN;
834
reg [data_width-1:0] ram2 [(1<<addr_width)-1:0] `SYN;
835
always @ (posedge clk or posedge rst)
836
if (rst)
837
    {wd3_reg, a3_reg, we3_reg} <= {(data_width+addr_width+1){1'b0}};
838
else
839
    {wd3_reg, a3_reg, we3_reg} <= {wd3,a3,wd3};
840
 
841
    always @ (negedge clk)
842
    if (we3_reg)
843
        ram1[a3_reg] <= wd3;
844
    always @ (posedge clk)
845
        a1_reg <= a1;
846
    assign rd1 = ram1[a1_reg];
847
 
848
    always @ (negedge clk)
849
    if (we3_reg)
850
        ram2[a3_reg] <= wd3;
851
    always @ (posedge clk)
852
        a2_reg <= a2;
853
    assign rd2 = ram2[a2_reg];
854
 
855
`else
856
 
857
`define MODULE dpram_1r1w
858
`BASE`MODULE
859
    # ( .data_width(data_width), .addr_width(addr_width))
860
    ram1 (
861
        .d_a(wd3),
862
        .adr_a(a3),
863
        .we_a(we3),
864
        .clk_a(clk),
865
        .q_b(rd1),
866
        .adr_b(a1),
867
        .clk_b(clk) );
868
 
869
`BASE`MODULE
870
    # ( .data_width(data_width), .addr_width(addr_width))
871
    ram2 (
872
        .d_a(wd3),
873
        .adr_a(a3),
874
        .we_a(we3),
875
        .clk_a(clk),
876
        .q_b(rd2),
877
        .adr_b(a2),
878
        .clk_b(clk) );
879
`undef MODULE
880
 
881
`endif
882
 
883
endmodule
884
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.