OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] [versatile_library/] [trunk/] [rtl/] [verilog/] [wb.v] - Blame information for rev 80

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 unneback
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Versatile library, wishbone stuff                           ////
4
////                                                              ////
5
////  Description                                                 ////
6
////  Wishbone compliant modules                                  ////
7
////                                                              ////
8
////                                                              ////
9
////  To Do:                                                      ////
10
////   -                                                          ////
11
////                                                              ////
12
////  Author(s):                                                  ////
13
////      - Michael Unneback, unneback@opencores.org              ////
14
////        ORSoC AB                                              ////
15
////                                                              ////
16
//////////////////////////////////////////////////////////////////////
17
////                                                              ////
18
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
19
////                                                              ////
20
//// This source file may be used and distributed without         ////
21
//// restriction provided that this copyright statement is not    ////
22
//// removed from the file and that any derivative work contains  ////
23
//// the original copyright notice and the associated disclaimer. ////
24
////                                                              ////
25
//// This source file is free software; you can redistribute it   ////
26
//// and/or modify it under the terms of the GNU Lesser General   ////
27
//// Public License as published by the Free Software Foundation; ////
28
//// either version 2.1 of the License, or (at your option) any   ////
29
//// later version.                                               ////
30
////                                                              ////
31
//// This source is distributed in the hope that it will be       ////
32
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
33
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
34
//// PURPOSE.  See the GNU Lesser General Public License for more ////
35
//// details.                                                     ////
36
////                                                              ////
37
//// You should have received a copy of the GNU Lesser General    ////
38
//// Public License along with this source; if not, download it   ////
39
//// from http://www.opencores.org/lgpl.shtml                     ////
40
////                                                              ////
41
//////////////////////////////////////////////////////////////////////
42
 
43 75 unneback
`ifdef WB_ADR_INC
44
// async wb3 - wb3 bridge
45
`timescale 1ns/1ns
46
`define MODULE wb_adr_inc
47
module `BASE`MODULE (
48
`undef MODULE
49
 
50
    always @ (posedge clk or posedge rst)
51
        if (rst)
52
           col_reg <= {col_reg_width{1'b0}};
53
        else
54
            case (state)
55
            `FSM_IDLE:
56
               col_reg <= col[col_reg_width-1:0];
57
            `FSM_RW:
58
               if (~stall)
59
                  case (bte_i)
60
`ifdef SDR_BEAT4
61
                        beat4:  col_reg[2:0] <= col_reg[2:0] + 3'd1;
62
`endif
63
`ifdef SDR_BEAT8
64
                        beat8:  col_reg[3:0] <= col_reg[3:0] + 4'd1;
65
`endif
66
`ifdef SDR_BEAT16
67
                        beat16: col_reg[4:0] <= col_reg[4:0] + 5'd1;
68
`endif
69
                  endcase
70
            endcase
71
`endif
72
 
73 40 unneback
`ifdef WB3WB3_BRIDGE
74 12 unneback
// async wb3 - wb3 bridge
75
`timescale 1ns/1ns
76 40 unneback
`define MODULE wb3wb3_bridge
77
module `BASE`MODULE (
78
`undef MODULE
79 12 unneback
        // wishbone slave side
80
        wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_bte_i, wbs_cti_i, wbs_we_i, wbs_cyc_i, wbs_stb_i, wbs_dat_o, wbs_ack_o, wbs_clk, wbs_rst,
81
        // wishbone master side
82
        wbm_dat_o, wbm_adr_o, wbm_sel_o, wbm_bte_o, wbm_cti_o, wbm_we_o, wbm_cyc_o, wbm_stb_o, wbm_dat_i, wbm_ack_i, wbm_clk, wbm_rst);
83
 
84
input [31:0] wbs_dat_i;
85
input [31:2] wbs_adr_i;
86
input [3:0]  wbs_sel_i;
87
input [1:0]  wbs_bte_i;
88
input [2:0]  wbs_cti_i;
89
input wbs_we_i, wbs_cyc_i, wbs_stb_i;
90
output [31:0] wbs_dat_o;
91 14 unneback
output wbs_ack_o;
92 12 unneback
input wbs_clk, wbs_rst;
93
 
94
output [31:0] wbm_dat_o;
95
output reg [31:2] wbm_adr_o;
96
output [3:0]  wbm_sel_o;
97
output reg [1:0]  wbm_bte_o;
98
output reg [2:0]  wbm_cti_o;
99 14 unneback
output reg wbm_we_o;
100
output wbm_cyc_o;
101 12 unneback
output wbm_stb_o;
102
input [31:0]  wbm_dat_i;
103
input wbm_ack_i;
104
input wbm_clk, wbm_rst;
105
 
106
parameter addr_width = 4;
107
 
108
// bte
109
parameter linear       = 2'b00;
110
parameter wrap4        = 2'b01;
111
parameter wrap8        = 2'b10;
112
parameter wrap16       = 2'b11;
113
// cti
114
parameter classic      = 3'b000;
115
parameter incburst     = 3'b010;
116
parameter endofburst   = 3'b111;
117
 
118
parameter wbs_adr  = 1'b0;
119
parameter wbs_data = 1'b1;
120
 
121 33 unneback
parameter wbm_adr0      = 2'b00;
122
parameter wbm_adr1      = 2'b01;
123
parameter wbm_data      = 2'b10;
124
parameter wbm_data_wait = 2'b11;
125 12 unneback
 
126
reg [1:0] wbs_bte_reg;
127
reg wbs;
128
wire wbs_eoc_alert, wbm_eoc_alert;
129
reg wbs_eoc, wbm_eoc;
130
reg [1:0] wbm;
131
 
132 14 unneback
wire [1:16] wbs_count, wbm_count;
133 12 unneback
 
134
wire [35:0] a_d, a_q, b_d, b_q;
135
wire a_wr, a_rd, a_fifo_full, a_fifo_empty, b_wr, b_rd, b_fifo_full, b_fifo_empty;
136
reg a_rd_reg;
137
wire b_rd_adr, b_rd_data;
138 14 unneback
wire b_rd_data_reg;
139
wire [35:0] temp;
140 12 unneback
 
141
`define WE 5
142
`define BTE 4:3
143
`define CTI 2:0
144
 
145
assign wbs_eoc_alert = (wbs_bte_reg==wrap4 & wbs_count[3]) | (wbs_bte_reg==wrap8 & wbs_count[7]) | (wbs_bte_reg==wrap16 & wbs_count[15]);
146
always @ (posedge wbs_clk or posedge wbs_rst)
147
if (wbs_rst)
148
        wbs_eoc <= 1'b0;
149
else
150
        if (wbs==wbs_adr & wbs_stb_i & !a_fifo_full)
151 78 unneback
                wbs_eoc <= (wbs_bte_i==linear) | (wbs_cti_i==3'b111);
152 12 unneback
        else if (wbs_eoc_alert & (a_rd | a_wr))
153
                wbs_eoc <= 1'b1;
154
 
155 40 unneback
`define MODULE cnt_shreg_ce_clear
156
`BASE`MODULE # ( .length(16))
157
`undef MODULE
158 12 unneback
    cnt0 (
159
        .cke(wbs_ack_o),
160
        .clear(wbs_eoc),
161
        .q(wbs_count),
162
        .rst(wbs_rst),
163
        .clk(wbs_clk));
164
 
165
always @ (posedge wbs_clk or posedge wbs_rst)
166
if (wbs_rst)
167
        wbs <= wbs_adr;
168
else
169 75 unneback
        if ((wbs==wbs_adr) & wbs_cyc_i & wbs_stb_i & a_fifo_empty)
170 12 unneback
                wbs <= wbs_data;
171
        else if (wbs_eoc & wbs_ack_o)
172
                wbs <= wbs_adr;
173
 
174
// wbs FIFO
175 75 unneback
assign a_d = (wbs==wbs_adr) ? {wbs_adr_i[31:2],wbs_we_i,((wbs_cti_i==3'b111) ? {2'b00,3'b000} : {wbs_bte_i,wbs_cti_i})} : {wbs_dat_i,wbs_sel_i};
176
assign a_wr = (wbs==wbs_adr)  ? wbs_cyc_i & wbs_stb_i & a_fifo_empty :
177 12 unneback
              (wbs==wbs_data) ? wbs_we_i  & wbs_stb_i & !a_fifo_full :
178
              1'b0;
179
assign a_rd = !a_fifo_empty;
180
always @ (posedge wbs_clk or posedge wbs_rst)
181
if (wbs_rst)
182
        a_rd_reg <= 1'b0;
183
else
184
        a_rd_reg <= a_rd;
185
assign wbs_ack_o = a_rd_reg | (a_wr & wbs==wbs_data);
186
 
187
assign wbs_dat_o = a_q[35:4];
188
 
189
always @ (posedge wbs_clk or posedge wbs_rst)
190
if (wbs_rst)
191 13 unneback
        wbs_bte_reg <= 2'b00;
192 12 unneback
else
193 13 unneback
        wbs_bte_reg <= wbs_bte_i;
194 12 unneback
 
195
// wbm FIFO
196
assign wbm_eoc_alert = (wbm_bte_o==wrap4 & wbm_count[3]) | (wbm_bte_o==wrap8 & wbm_count[7]) | (wbm_bte_o==wrap16 & wbm_count[15]);
197
always @ (posedge wbm_clk or posedge wbm_rst)
198
if (wbm_rst)
199
        wbm_eoc <= 1'b0;
200
else
201
        if (wbm==wbm_adr0 & !b_fifo_empty)
202
                wbm_eoc <= b_q[`BTE] == linear;
203
        else if (wbm_eoc_alert & wbm_ack_i)
204
                wbm_eoc <= 1'b1;
205
 
206
always @ (posedge wbm_clk or posedge wbm_rst)
207
if (wbm_rst)
208
        wbm <= wbm_adr0;
209
else
210 33 unneback
/*
211 12 unneback
    if ((wbm==wbm_adr0 & !b_fifo_empty) |
212
        (wbm==wbm_adr1 & !b_fifo_empty & wbm_we_o) |
213
        (wbm==wbm_adr1 & !wbm_we_o) |
214
        (wbm==wbm_data & wbm_ack_i & wbm_eoc))
215
        wbm <= {wbm[0],!(wbm[1] ^ wbm[0])};  // count sequence 00,01,10
216 33 unneback
*/
217
    case (wbm)
218
    wbm_adr0:
219
        if (!b_fifo_empty)
220
            wbm <= wbm_adr1;
221
    wbm_adr1:
222
        if (!wbm_we_o | (!b_fifo_empty & wbm_we_o))
223
            wbm <= wbm_data;
224
    wbm_data:
225
        if (wbm_ack_i & wbm_eoc)
226
            wbm <= wbm_adr0;
227
        else if (b_fifo_empty & wbm_we_o & wbm_ack_i)
228
            wbm <= wbm_data_wait;
229
    wbm_data_wait:
230
        if (!b_fifo_empty)
231
            wbm <= wbm_data;
232
    endcase
233 12 unneback
 
234
assign b_d = {wbm_dat_i,4'b1111};
235
assign b_wr = !wbm_we_o & wbm_ack_i;
236
assign b_rd_adr  = (wbm==wbm_adr0 & !b_fifo_empty);
237
assign b_rd_data = (wbm==wbm_adr1 & !b_fifo_empty & wbm_we_o) ? 1'b1 : // b_q[`WE]
238
                   (wbm==wbm_data & !b_fifo_empty & wbm_we_o & wbm_ack_i & !wbm_eoc) ? 1'b1 :
239 33 unneback
                   (wbm==wbm_data_wait & !b_fifo_empty) ? 1'b1 :
240 12 unneback
                   1'b0;
241
assign b_rd = b_rd_adr | b_rd_data;
242
 
243 40 unneback
`define MODULE dff
244
`BASE`MODULE dff1 ( .d(b_rd_data), .q(b_rd_data_reg), .clk(wbm_clk), .rst(wbm_rst));
245
`undef MODULE
246
`define MODULE dff_ce
247
`BASE`MODULE # ( .width(36)) dff2 ( .d(b_q), .ce(b_rd_data_reg), .q(temp), .clk(wbm_clk), .rst(wbm_rst));
248
`undef MODULE
249 12 unneback
 
250
assign {wbm_dat_o,wbm_sel_o} = (b_rd_data_reg) ? b_q : temp;
251
 
252 40 unneback
`define MODULE cnt_shreg_ce_clear
253 42 unneback
`BASE`MODULE # ( .length(16))
254 40 unneback
`undef MODULE
255 12 unneback
    cnt1 (
256
        .cke(wbm_ack_i),
257
        .clear(wbm_eoc),
258
        .q(wbm_count),
259
        .rst(wbm_rst),
260
        .clk(wbm_clk));
261
 
262 33 unneback
assign wbm_cyc_o = (wbm==wbm_data | wbm==wbm_data_wait);
263
assign wbm_stb_o = (wbm==wbm_data);
264 12 unneback
 
265
always @ (posedge wbm_clk or posedge wbm_rst)
266
if (wbm_rst)
267
        {wbm_adr_o,wbm_we_o,wbm_bte_o,wbm_cti_o} <= {30'h0,1'b0,linear,classic};
268
else begin
269
        if (wbm==wbm_adr0 & !b_fifo_empty)
270
                {wbm_adr_o,wbm_we_o,wbm_bte_o,wbm_cti_o} <= b_q;
271
        else if (wbm_eoc_alert & wbm_ack_i)
272
                wbm_cti_o <= endofburst;
273
end
274
 
275
//async_fifo_dw_simplex_top
276 40 unneback
`define MODULE fifo_2r2w_async_simplex
277
`BASE`MODULE
278
`undef MODULE
279 12 unneback
# ( .data_width(36), .addr_width(addr_width))
280
fifo (
281
    // a side
282
    .a_d(a_d),
283
    .a_wr(a_wr),
284
    .a_fifo_full(a_fifo_full),
285
    .a_q(a_q),
286
    .a_rd(a_rd),
287
    .a_fifo_empty(a_fifo_empty),
288
    .a_clk(wbs_clk),
289
    .a_rst(wbs_rst),
290
    // b side
291
    .b_d(b_d),
292
    .b_wr(b_wr),
293
    .b_fifo_full(b_fifo_full),
294
    .b_q(b_q),
295
    .b_rd(b_rd),
296
    .b_fifo_empty(b_fifo_empty),
297
    .b_clk(wbm_clk),
298
    .b_rst(wbm_rst)
299
    );
300
 
301
endmodule
302 40 unneback
`undef WE
303
`undef BTE
304
`undef CTI
305
`endif
306 17 unneback
 
307 75 unneback
`ifdef WB3AVALON_BRIDGE
308
`define MODULE wb3avalon_bridge
309
module `BASE`MODULE (
310
`undef MODULE
311
        // wishbone slave side
312
        wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_bte_i, wbs_cti_i, wbs_we_i, wbs_cyc_i, wbs_stb_i, wbs_dat_o, wbs_ack_o, wbs_clk, wbs_rst,
313 77 unneback
        // avalon master side
314 75 unneback
        readdata, readdatavalid, address, read, be, write, burstcount, writedata, waitrequest, beginbursttransfer, clk, rst);
315
 
316
input [31:0] wbs_dat_i;
317
input [31:2] wbs_adr_i;
318
input [3:0]  wbs_sel_i;
319
input [1:0]  wbs_bte_i;
320
input [2:0]  wbs_cti_i;
321
input wbs_we_i, wbs_cyc_i, wbs_stb_i;
322
output [31:0] wbs_dat_o;
323
output wbs_ack_o;
324
input wbs_clk, wbs_rst;
325
 
326
input [31:0] readdata;
327
output [31:0] writedata;
328
output [31:2] address;
329
output [3:0]  be;
330
output write;
331 80 unneback
output reg read;
332 75 unneback
output beginbursttransfer;
333
output [3:0] burstcount;
334
input readdatavalid;
335
input waitrequest;
336
input clk;
337
input rst;
338
 
339
wire [1:0] wbm_bte_o;
340
wire [2:0] wbm_cti_o;
341
wire wbm_we_o, wbm_cyc_o, wbm_stb_o, wbm_ack_i;
342
reg last_cyc;
343 79 unneback
reg [3:0] counter;
344 75 unneback
 
345
always @ (posedge clk or posedge rst)
346
if (rst)
347
    last_cyc <= 1'b0;
348
else
349
    last_cyc <= wbm_cyc_o;
350
 
351 79 unneback
always @ (posedge clk or posedge rst)
352
if (rst)
353
    read <= 1'b0;
354
else
355 80 unneback
    if (!last_cyc & wbm_cyc_o & !wbm_we_o)
356 79 unneback
        read <= 1'b1;
357
    else if (!waitrequest)
358
        read <= 1'b0;
359
 
360 75 unneback
assign beginbursttransfer = (!last_cyc & wbm_cyc_o) & wbm_cti_o==3'b010;
361
assign burstcount = (wbm_bte_o==2'b01) ? 4'd4 :
362
                    (wbm_bte_o==2'b10) ? 4'd8 :
363 78 unneback
                    (wbm_bte_o==2'b11) ? 4'd16:
364
                    4'd1;
365 75 unneback
assign wbm_ack_i = (readdatavalid & !waitrequest) | (write & !waitrequest);
366
 
367 79 unneback
always @ (posedge clk or posedge rst)
368
if (rst) begin
369
    counter <= 4'd0;
370
end else
371 80 unneback
    if (wbm_we_o) begin
372
        if (!waitrequest & !last_cyc & wbm_cyc_o) begin
373
            counter <= burstcount -1;
374
        end else if (waitrequest & !last_cyc & wbm_cyc_o) begin
375
            counter <= burstcount;
376
        end else if (!waitrequest & wbm_stb_o) begin
377
            counter <= counter - 4'd1;
378
        end
379 79 unneback
    end
380 80 unneback
assign write = wbm_cyc & wbm_stb_o & wbm_we_o & counter!=4'd0;
381 79 unneback
 
382 75 unneback
`define MODULE wb3wb3_bridge
383 77 unneback
`BASE`MODULE wbwb3inst (
384 75 unneback
`undef MODULE
385
    // wishbone slave side
386
    .wbs_dat_i(wbs_dat_i),
387
    .wbs_adr_i(wbs_adr_i),
388
    .wbs_sel_i(wbs_sel_i),
389
    .wbs_bte_i(wbs_bte_i),
390
    .wbs_cti_i(wbs_cti_i),
391
    .wbs_we_i(wbs_we_i),
392
    .wbs_cyc_i(wbs_cyc_i),
393
    .wbs_stb_i(wbs_stb_i),
394
    .wbs_dat_o(wbs_dat_o),
395
    .wbs_ack_o(wbs_ack_o),
396
    .wbs_clk(wbs_clk),
397
    .wbs_rst(wbs_rst),
398
    // wishbone master side
399
    .wbm_dat_o(writedata),
400 78 unneback
    .wbm_adr_o(address),
401 75 unneback
    .wbm_sel_o(be),
402
    .wbm_bte_o(wbm_bte_o),
403
    .wbm_cti_o(wbm_cti_o),
404
    .wbm_we_o(wbm_we_o),
405
    .wbm_cyc_o(wbm_cyc_o),
406
    .wbm_stb_o(wbm_stb_o),
407
    .wbm_dat_i(readdata),
408
    .wbm_ack_i(wbm_ack_i),
409
    .wbm_clk(clk),
410
    .wbm_rst(rst));
411
 
412
 
413
endmodule
414
`endif
415
 
416 40 unneback
`ifdef WB3_ARBITER_TYPE1
417
`define MODULE wb3_arbiter_type1
418 42 unneback
module `BASE`MODULE (
419 40 unneback
`undef MODULE
420 39 unneback
    wbm_dat_o, wbm_adr_o, wbm_sel_o, wbm_cti_o, wbm_bte_o, wbm_we_o, wbm_stb_o, wbm_cyc_o,
421
    wbm_dat_i, wbm_ack_i, wbm_err_i, wbm_rty_i,
422
    wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_cti_i, wbs_bte_i, wbs_we_i, wbs_stb_i, wbs_cyc_i,
423
    wbs_dat_o, wbs_ack_o, wbs_err_o, wbs_rty_o,
424
    wb_clk, wb_rst
425
);
426
 
427
parameter nr_of_ports = 3;
428
parameter adr_size = 26;
429
parameter adr_lo   = 2;
430
parameter dat_size = 32;
431
parameter sel_size = dat_size/8;
432
 
433
localparam aw = (adr_size - adr_lo) * nr_of_ports;
434
localparam dw = dat_size * nr_of_ports;
435
localparam sw = sel_size * nr_of_ports;
436
localparam cw = 3 * nr_of_ports;
437
localparam bw = 2 * nr_of_ports;
438
 
439
input  [dw-1:0] wbm_dat_o;
440
input  [aw-1:0] wbm_adr_o;
441
input  [sw-1:0] wbm_sel_o;
442
input  [cw-1:0] wbm_cti_o;
443
input  [bw-1:0] wbm_bte_o;
444
input  [nr_of_ports-1:0] wbm_we_o, wbm_stb_o, wbm_cyc_o;
445
output [dw-1:0] wbm_dat_i;
446
output [nr_of_ports-1:0] wbm_ack_i, wbm_err_i, wbm_rty_i;
447
 
448
output [dat_size-1:0] wbs_dat_i;
449
output [adr_size-1:adr_lo] wbs_adr_i;
450
output [sel_size-1:0] wbs_sel_i;
451
output [2:0] wbs_cti_i;
452
output [1:0] wbs_bte_i;
453
output wbs_we_i, wbs_stb_i, wbs_cyc_i;
454
input  [dat_size-1:0] wbs_dat_o;
455
input  wbs_ack_o, wbs_err_o, wbs_rty_o;
456
 
457
input wb_clk, wb_rst;
458
 
459 44 unneback
reg  [nr_of_ports-1:0] select;
460 39 unneback
wire [nr_of_ports-1:0] state;
461
wire [nr_of_ports-1:0] eoc; // end-of-cycle
462
wire [nr_of_ports-1:0] sel;
463
wire idle;
464
 
465
genvar i;
466
 
467
assign idle = !(|state);
468
 
469
generate
470
if (nr_of_ports == 2) begin
471
 
472
    wire [2:0] wbm1_cti_o, wbm0_cti_o;
473
 
474
    assign {wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
475
 
476 44 unneback
    //assign select = (idle) ? {wbm_cyc_o[1],!wbm_cyc_o[1] & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
477
 
478
    always @ (idle or wbm_cyc_o)
479
    if (idle)
480
        casex (wbm_cyc_o)
481
        2'b1x : select = 2'b10;
482
        2'b01 : select = 2'b01;
483
        default : select = {nr_of_ports{1'b0}};
484
        endcase
485
    else
486
        select = {nr_of_ports{1'b0}};
487
 
488 39 unneback
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
489
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
490
 
491
end
492
endgenerate
493
 
494
generate
495
if (nr_of_ports == 3) begin
496
 
497
    wire [2:0] wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
498
 
499
    assign {wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
500
 
501 44 unneback
    always @ (idle or wbm_cyc_o)
502
    if (idle)
503
        casex (wbm_cyc_o)
504
        3'b1xx : select = 3'b100;
505
        3'b01x : select = 3'b010;
506
        3'b001 : select = 3'b001;
507
        default : select = {nr_of_ports{1'b0}};
508
        endcase
509
    else
510
        select = {nr_of_ports{1'b0}};
511
 
512
//    assign select = (idle) ? {wbm_cyc_o[2],!wbm_cyc_o[2] & wbm_cyc_o[1],wbm_cyc_o[2:1]==2'b00 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
513 39 unneback
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
514
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
515
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
516
 
517
end
518
endgenerate
519
 
520
generate
521 44 unneback
if (nr_of_ports == 4) begin
522
 
523
    wire [2:0] wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
524
 
525
    assign {wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
526
 
527
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
528
 
529
    always @ (idle or wbm_cyc_o)
530
    if (idle)
531
        casex (wbm_cyc_o)
532
        4'b1xxx : select = 4'b1000;
533
        4'b01xx : select = 4'b0100;
534
        4'b001x : select = 4'b0010;
535
        4'b0001 : select = 4'b0001;
536
        default : select = {nr_of_ports{1'b0}};
537
        endcase
538
    else
539
        select = {nr_of_ports{1'b0}};
540
 
541
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
542
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
543
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
544
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
545
 
546
end
547
endgenerate
548
 
549
generate
550
if (nr_of_ports == 5) begin
551
 
552
    wire [2:0] wbm4_cti_o, wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
553
 
554
    assign {wbm4_cti_o, wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
555
 
556
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
557
 
558
    always @ (idle or wbm_cyc_o)
559
    if (idle)
560
        casex (wbm_cyc_o)
561
        5'b1xxxx : select = 5'b10000;
562
        5'b01xxx : select = 5'b01000;
563
        5'b001xx : select = 5'b00100;
564
        5'b0001x : select = 5'b00010;
565
        5'b00001 : select = 5'b00001;
566
        default : select = {nr_of_ports{1'b0}};
567
        endcase
568
    else
569
        select = {nr_of_ports{1'b0}};
570
 
571
    assign eoc[4] = (wbm_ack_i[4] & (wbm4_cti_o == 3'b000 | wbm4_cti_o == 3'b111)) | !wbm_cyc_o[4];
572
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
573
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
574
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
575
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
576
 
577
end
578
endgenerate
579
 
580
generate
581 67 unneback
if (nr_of_ports == 6) begin
582
 
583
    wire [2:0] wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
584
 
585
    assign {wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
586
 
587
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
588
 
589
    always @ (idle or wbm_cyc_o)
590
    if (idle)
591
        casex (wbm_cyc_o)
592
        6'b1xxxxx : select = 6'b100000;
593
        6'b01xxxx : select = 6'b010000;
594
        6'b001xxx : select = 6'b001000;
595
        6'b0001xx : select = 6'b000100;
596
        6'b00001x : select = 6'b000010;
597
        6'b000001 : select = 6'b000001;
598
        default : select = {nr_of_ports{1'b0}};
599
        endcase
600
    else
601
        select = {nr_of_ports{1'b0}};
602
 
603
    assign eoc[5] = (wbm_ack_i[5] & (wbm5_cti_o == 3'b000 | wbm5_cti_o == 3'b111)) | !wbm_cyc_o[5];
604
    assign eoc[4] = (wbm_ack_i[4] & (wbm4_cti_o == 3'b000 | wbm4_cti_o == 3'b111)) | !wbm_cyc_o[4];
605
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
606
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
607
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
608
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
609
 
610
end
611
endgenerate
612
 
613
generate
614
if (nr_of_ports == 7) begin
615
 
616
    wire [2:0] wbm6_cti_o, wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
617
 
618
    assign {wbm6_cti_o, wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
619
 
620
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
621
 
622
    always @ (idle or wbm_cyc_o)
623
    if (idle)
624
        casex (wbm_cyc_o)
625
        7'b1xxxxxx : select = 7'b1000000;
626
        7'b01xxxxx : select = 7'b0100000;
627
        7'b001xxxx : select = 7'b0010000;
628
        7'b0001xxx : select = 7'b0001000;
629
        7'b00001xx : select = 7'b0000100;
630
        7'b000001x : select = 7'b0000010;
631
        7'b0000001 : select = 7'b0000001;
632
        default : select = {nr_of_ports{1'b0}};
633
        endcase
634
    else
635
        select = {nr_of_ports{1'b0}};
636
 
637
    assign eoc[6] = (wbm_ack_i[6] & (wbm6_cti_o == 3'b000 | wbm6_cti_o == 3'b111)) | !wbm_cyc_o[6];
638
    assign eoc[5] = (wbm_ack_i[5] & (wbm5_cti_o == 3'b000 | wbm5_cti_o == 3'b111)) | !wbm_cyc_o[5];
639
    assign eoc[4] = (wbm_ack_i[4] & (wbm4_cti_o == 3'b000 | wbm4_cti_o == 3'b111)) | !wbm_cyc_o[4];
640
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
641
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
642
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
643
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
644
 
645
end
646
endgenerate
647
 
648
generate
649
if (nr_of_ports == 8) begin
650
 
651
    wire [2:0] wbm7_cti_o, wbm6_cti_o, wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
652
 
653
    assign {wbm7_cti_o, wbm6_cti_o, wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
654
 
655
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
656
 
657
    always @ (idle or wbm_cyc_o)
658
    if (idle)
659
        casex (wbm_cyc_o)
660
        8'b1xxxxxxx : select = 8'b10000000;
661
        8'b01xxxxxx : select = 8'b01000000;
662
        8'b001xxxxx : select = 8'b00100000;
663
        8'b0001xxxx : select = 8'b00010000;
664
        8'b00001xxx : select = 8'b00001000;
665
        8'b000001xx : select = 8'b00000100;
666
        8'b0000001x : select = 8'b00000010;
667
        8'b00000001 : select = 8'b00000001;
668
        default : select = {nr_of_ports{1'b0}};
669
        endcase
670
    else
671
        select = {nr_of_ports{1'b0}};
672
 
673
    assign eoc[7] = (wbm_ack_i[7] & (wbm7_cti_o == 3'b000 | wbm7_cti_o == 3'b111)) | !wbm_cyc_o[7];
674
    assign eoc[6] = (wbm_ack_i[6] & (wbm6_cti_o == 3'b000 | wbm6_cti_o == 3'b111)) | !wbm_cyc_o[6];
675
    assign eoc[5] = (wbm_ack_i[5] & (wbm5_cti_o == 3'b000 | wbm5_cti_o == 3'b111)) | !wbm_cyc_o[5];
676
    assign eoc[4] = (wbm_ack_i[4] & (wbm4_cti_o == 3'b000 | wbm4_cti_o == 3'b111)) | !wbm_cyc_o[4];
677
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
678
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
679
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
680
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
681
 
682
end
683
endgenerate
684
 
685
generate
686 63 unneback
for (i=0;i<nr_of_ports;i=i+1) begin : spr0
687 42 unneback
`define MODULE spr
688
    `BASE`MODULE sr0( .sp(select[i]), .r(eoc[i]), .q(state[i]), .clk(wb_clk), .rst(wb_rst));
689
`undef MODULE
690 39 unneback
end
691
endgenerate
692
 
693
    assign sel = select | state;
694
 
695 40 unneback
`define MODULE mux_andor
696
    `BASE`MODULE # ( .nr_of_ports(nr_of_ports), .width(32)) mux0 ( .a(wbm_dat_o), .sel(sel), .dout(wbs_dat_i));
697
    `BASE`MODULE # ( .nr_of_ports(nr_of_ports), .width(adr_size-adr_lo)) mux1 ( .a(wbm_adr_o), .sel(sel), .dout(wbs_adr_i));
698
    `BASE`MODULE # ( .nr_of_ports(nr_of_ports), .width(sel_size)) mux2 ( .a(wbm_sel_o), .sel(sel), .dout(wbs_sel_i));
699
    `BASE`MODULE # ( .nr_of_ports(nr_of_ports), .width(3)) mux3 ( .a(wbm_cti_o), .sel(sel), .dout(wbs_cti_i));
700
    `BASE`MODULE # ( .nr_of_ports(nr_of_ports), .width(2)) mux4 ( .a(wbm_bte_o), .sel(sel), .dout(wbs_bte_i));
701
    `BASE`MODULE # ( .nr_of_ports(nr_of_ports), .width(1)) mux5 ( .a(wbm_we_o), .sel(sel), .dout(wbs_we_i));
702
    `BASE`MODULE # ( .nr_of_ports(nr_of_ports), .width(1)) mux6 ( .a(wbm_stb_o), .sel(sel), .dout(wbs_stb_i));
703
`undef MODULE
704 39 unneback
    assign wbs_cyc_i = |sel;
705
 
706
    assign wbm_dat_i = {nr_of_ports{wbs_dat_o}};
707
    assign wbm_ack_i = {nr_of_ports{wbs_ack_o}} & sel;
708
    assign wbm_err_i = {nr_of_ports{wbs_err_o}} & sel;
709
    assign wbm_rty_i = {nr_of_ports{wbs_rty_o}} & sel;
710
 
711
endmodule
712 40 unneback
`endif
713 39 unneback
 
714 60 unneback
`ifdef WB_B3_RAM_BE
715 49 unneback
// WB RAM with byte enable
716 59 unneback
`define MODULE wb_b3_ram_be
717
module `BASE`MODULE (
718
`undef MODULE
719 69 unneback
    wbs_dat_i, wbs_adr_i, wbs_cti_i, wbs_bte_i, wbs_sel_i, wbs_we_i, wbs_stb_i, wbs_cyc_i,
720
    wbs_dat_o, wbs_ack_o, wb_clk, wb_rst);
721 59 unneback
 
722 68 unneback
parameter adr_size = 16;
723 60 unneback
parameter adr_lo   = 2;
724 68 unneback
parameter mem_size = 1<<16;
725 60 unneback
parameter dat_size = 32;
726
parameter memory_init = 1;
727
parameter memory_file = "vl_ram.vmem";
728 59 unneback
 
729 69 unneback
localparam aw = (adr_size - adr_lo);
730
localparam dw = dat_size;
731
localparam sw = dat_size/8;
732
localparam cw = 3;
733
localparam bw = 2;
734 60 unneback
 
735 70 unneback
input [dw-1:0] wbs_dat_i;
736
input [aw-1:0] wbs_adr_i;
737
input [cw-1:0] wbs_cti_i;
738
input [bw-1:0] wbs_bte_i;
739
input [sw-1:0] wbs_sel_i;
740
input wbs_we_i, wbs_stb_i, wbs_cyc_i;
741
output [dw-1:0] wbs_dat_o;
742
output wbs_ack_o;
743 71 unneback
input wb_clk, wb_rst;
744 59 unneback
 
745 60 unneback
wire [sw-1:0] cke;
746 59 unneback
 
747 60 unneback
reg wbs_ack_o;
748
 
749
`define MODULE ram_be
750
`BASE`MODULE # (
751
    .data_width(dat_size),
752 72 unneback
    .addr_width(adr_size-2),
753 69 unneback
    .mem_size(mem_size),
754 68 unneback
    .memory_init(memory_init),
755
    .memory_file(memory_file))
756 60 unneback
ram0(
757
`undef MODULE
758
    .d(wbs_dat_i),
759
    .adr(wbs_adr_i[adr_size-1:2]),
760
    .be(wbs_sel_i),
761
    .we(wbs_we_i),
762
    .q(wbs_dat_o),
763
    .clk(wb_clk)
764
);
765
 
766 59 unneback
always @ (posedge wb_clk or posedge wb_rst)
767
if (wb_rst)
768 60 unneback
    wbs_ack_o <= 1'b0;
769 59 unneback
else
770 60 unneback
    if (wbs_cti_i==3'b000 | wbs_cti_i==3'b111)
771
        wbs_ack_o <= wbs_stb_i & wbs_cyc_i & !wbs_ack_o;
772 59 unneback
    else
773 60 unneback
        wbs_ack_o <= wbs_stb_i & wbs_cyc_i;
774
 
775 59 unneback
endmodule
776
`endif
777
 
778
`ifdef WB_B4_RAM_BE
779
// WB RAM with byte enable
780 49 unneback
`define MODULE wb_b4_ram_be
781
module `BASE`MODULE (
782
`undef MODULE
783
    wb_dat_i, wb_adr_i, wb_sel_i, wb_we_i, wb_stb_i, wb_cyc_i,
784 52 unneback
    wb_dat_o, wb_stall_o, wb_ack_o, wb_clk, wb_rst);
785 49 unneback
 
786
    parameter dat_width = 32;
787
    parameter adr_width = 8;
788
 
789
input [dat_width-1:0] wb_dat_i;
790
input [adr_width-1:0] wb_adr_i;
791
input [dat_width/8-1:0] wb_sel_i;
792
input wb_we_i, wb_stb_i, wb_cyc_i;
793
output [dat_width-1:0] wb_dat_o;
794 51 unneback
reg [dat_width-1:0] wb_dat_o;
795 52 unneback
output wb_stall_o;
796 49 unneback
output wb_ack_o;
797
reg wb_ack_o;
798
input wb_clk, wb_rst;
799
 
800 56 unneback
wire [dat_width/8-1:0] cke;
801
 
802 49 unneback
generate
803
if (dat_width==32) begin
804 51 unneback
reg [7:0] ram3 [1<<(adr_width-2)-1:0];
805
reg [7:0] ram2 [1<<(adr_width-2)-1:0];
806
reg [7:0] ram1 [1<<(adr_width-2)-1:0];
807
reg [7:0] ram0 [1<<(adr_width-2)-1:0];
808 56 unneback
assign cke = wb_sel_i & {(dat_width/8){wb_we_i}};
809 49 unneback
    always @ (posedge wb_clk)
810
    begin
811 56 unneback
        if (cke[3]) ram3[wb_adr_i[adr_width-1:2]] <= wb_dat_i[31:24];
812
        if (cke[2]) ram2[wb_adr_i[adr_width-1:2]] <= wb_dat_i[23:16];
813
        if (cke[1]) ram1[wb_adr_i[adr_width-1:2]] <= wb_dat_i[15:8];
814
        if (cke[0]) ram0[wb_adr_i[adr_width-1:2]] <= wb_dat_i[7:0];
815 49 unneback
    end
816 59 unneback
    always @ (posedge wb_clk or posedge wb_rst)
817
    begin
818
        if (wb_rst)
819
            wb_dat_o <= 32'h0;
820
        else
821
            wb_dat_o <= {ram3[wb_adr_i[adr_width-1:2]],ram2[wb_adr_i[adr_width-1:2]],ram1[wb_adr_i[adr_width-1:2]],ram0[wb_adr_i[adr_width-1:2]]};
822
    end
823 49 unneback
end
824
endgenerate
825
 
826 52 unneback
always @ (posedge wb_clk or posedge wb_rst)
827 55 unneback
if (wb_rst)
828 52 unneback
    wb_ack_o <= 1'b0;
829
else
830 54 unneback
    wb_ack_o <= wb_stb_i & wb_cyc_i;
831 52 unneback
 
832
assign wb_stall_o = 1'b0;
833
 
834 49 unneback
endmodule
835
`endif
836
 
837 48 unneback
`ifdef WB_B4_ROM
838
// WB ROM
839
`define MODULE wb_b4_rom
840
module `BASE`MODULE (
841
`undef MODULE
842
    wb_adr_i, wb_stb_i, wb_cyc_i,
843
    wb_dat_o, stall_o, wb_ack_o, wb_clk, wb_rst);
844
 
845
    parameter dat_width = 32;
846
    parameter dat_default = 32'h15000000;
847
    parameter adr_width = 32;
848
 
849
/*
850
//E2_ifndef ROM
851
//E2_define ROM "rom.v"
852
//E2_endif
853
*/
854
    input [adr_width-1:2]   wb_adr_i;
855
    input                   wb_stb_i;
856
    input                   wb_cyc_i;
857
    output [dat_width-1:0]  wb_dat_o;
858
    reg [dat_width-1:0]     wb_dat_o;
859
    output                  wb_ack_o;
860
    reg                     wb_ack_o;
861
    output                  stall_o;
862
    input                   wb_clk;
863
    input                   wb_rst;
864
 
865
always @ (posedge wb_clk or posedge wb_rst)
866
    if (wb_rst)
867
        wb_dat_o <= {dat_width{1'b0}};
868
    else
869
         case (wb_adr_i[adr_width-1:2])
870
//E2_ifdef ROM
871
//E2_include `ROM
872
//E2_endif
873
           default:
874
             wb_dat_o <= dat_default;
875
 
876
         endcase // case (wb_adr_i)
877
 
878
 
879
always @ (posedge wb_clk or posedge wb_rst)
880
    if (wb_rst)
881
        wb_ack_o <= 1'b0;
882
    else
883
        wb_ack_o <= wb_stb_i & wb_cyc_i;
884
 
885
assign stall_o = 1'b0;
886
 
887
endmodule
888
`endif
889
 
890
 
891 40 unneback
`ifdef WB_BOOT_ROM
892 17 unneback
// WB ROM
893 40 unneback
`define MODULE wb_boot_rom
894
module `BASE`MODULE (
895
`undef MODULE
896 17 unneback
    wb_adr_i, wb_stb_i, wb_cyc_i,
897 18 unneback
    wb_dat_o, wb_ack_o, hit_o, wb_clk, wb_rst);
898 17 unneback
 
899 18 unneback
    parameter adr_hi = 31;
900
    parameter adr_lo = 28;
901
    parameter adr_sel = 4'hf;
902
    parameter addr_width = 5;
903 33 unneback
/*
904 17 unneback
//E2_ifndef BOOT_ROM
905
//E2_define BOOT_ROM "boot_rom.v"
906
//E2_endif
907 33 unneback
*/
908 18 unneback
    input [adr_hi:2]    wb_adr_i;
909
    input               wb_stb_i;
910
    input               wb_cyc_i;
911
    output [31:0]        wb_dat_o;
912
    output              wb_ack_o;
913
    output              hit_o;
914
    input               wb_clk;
915
    input               wb_rst;
916
 
917
    wire hit;
918
    reg [31:0] wb_dat;
919
    reg wb_ack;
920
 
921
assign hit = wb_adr_i[adr_hi:adr_lo] == adr_sel;
922 17 unneback
 
923
always @ (posedge wb_clk or posedge wb_rst)
924
    if (wb_rst)
925 18 unneback
        wb_dat <= 32'h15000000;
926 17 unneback
    else
927 18 unneback
         case (wb_adr_i[addr_width-1:2])
928 33 unneback
//E2_ifdef BOOT_ROM
929 17 unneback
//E2_include `BOOT_ROM
930 33 unneback
//E2_endif
931 17 unneback
           /*
932
            // Zero r0 and jump to 0x00000100
933 18 unneback
 
934
            1 : wb_dat <= 32'hA8200000;
935
            2 : wb_dat <= 32'hA8C00100;
936
            3 : wb_dat <= 32'h44003000;
937
            4 : wb_dat <= 32'h15000000;
938 17 unneback
            */
939
           default:
940 18 unneback
             wb_dat <= 32'h00000000;
941 17 unneback
 
942
         endcase // case (wb_adr_i)
943
 
944
 
945
always @ (posedge wb_clk or posedge wb_rst)
946
    if (wb_rst)
947 18 unneback
        wb_ack <= 1'b0;
948 17 unneback
    else
949 18 unneback
        wb_ack <= wb_stb_i & wb_cyc_i & hit & !wb_ack;
950 17 unneback
 
951 18 unneback
assign hit_o = hit;
952
assign wb_dat_o = wb_dat & {32{wb_ack}};
953
assign wb_ack_o = wb_ack;
954
 
955 17 unneback
endmodule
956 40 unneback
`endif
957 32 unneback
 
958 40 unneback
`ifdef WB_DPRAM
959
`define MODULE wb_dpram
960
module `BASE`MODULE (
961
`undef MODULE
962 32 unneback
        // wishbone slave side a
963
        wbsa_dat_i, wbsa_adr_i, wbsa_we_i, wbsa_cyc_i, wbsa_stb_i, wbsa_dat_o, wbsa_ack_o,
964
        wbsa_clk, wbsa_rst,
965
        // wishbone slave side a
966
        wbsb_dat_i, wbsb_adr_i, wbsb_we_i, wbsb_cyc_i, wbsb_stb_i, wbsb_dat_o, wbsb_ack_o,
967
        wbsb_clk, wbsb_rst);
968
 
969
parameter data_width = 32;
970
parameter addr_width = 8;
971
 
972
parameter dat_o_mask_a = 1;
973
parameter dat_o_mask_b = 1;
974
 
975
input [31:0] wbsa_dat_i;
976
input [addr_width-1:2] wbsa_adr_i;
977
input wbsa_we_i, wbsa_cyc_i, wbsa_stb_i;
978
output [31:0] wbsa_dat_o;
979
output wbsa_ack_o;
980
input wbsa_clk, wbsa_rst;
981
 
982
input [31:0] wbsb_dat_i;
983
input [addr_width-1:2] wbsb_adr_i;
984
input wbsb_we_i, wbsb_cyc_i, wbsb_stb_i;
985
output [31:0] wbsb_dat_o;
986
output wbsb_ack_o;
987
input wbsb_clk, wbsb_rst;
988
 
989
wire wbsa_dat_tmp, wbsb_dat_tmp;
990
 
991 40 unneback
`define MODULE dpram_2r2w
992
`BASE`MODULE # (
993
`undef MODULE
994 33 unneback
    .data_width(data_width), .addr_width(addr_width) )
995 32 unneback
dpram0(
996
    .d_a(wbsa_dat_i),
997
    .q_a(wbsa_dat_tmp),
998
    .adr_a(wbsa_adr_i),
999
    .we_a(wbsa_we_i),
1000
    .clk_a(wbsa_clk),
1001
    .d_b(wbsb_dat_i),
1002
    .q_b(wbsb_dat_tmp),
1003
    .adr_b(wbsb_adr_i),
1004
    .we_b(wbsb_we_i),
1005
    .clk_b(wbsb_clk) );
1006
 
1007 33 unneback
generate if (dat_o_mask_a==1)
1008 32 unneback
    assign wbsa_dat_o = wbsa_dat_tmp & {data_width{wbsa_ack_o}};
1009
endgenerate
1010 33 unneback
generate if (dat_o_mask_a==0)
1011 32 unneback
    assign wbsa_dat_o = wbsa_dat_tmp;
1012
endgenerate
1013
 
1014 33 unneback
generate if (dat_o_mask_b==1)
1015 32 unneback
    assign wbsb_dat_o = wbsb_dat_tmp & {data_width{wbsb_ack_o}};
1016
endgenerate
1017 33 unneback
generate if (dat_o_mask_b==0)
1018 32 unneback
    assign wbsb_dat_o = wbsb_dat_tmp;
1019
endgenerate
1020
 
1021 40 unneback
`define MODULE spr
1022
`BASE`MODULE ack_a( .sp(wbsa_cyc_i & wbsa_stb_i & !wbsa_ack_o), .r(1'b1), .q(wbsa_ack_o), .clk(wbsa_clk), .rst(wbsa_rst));
1023
`BASE`MODULE ack_b( .sp(wbsb_cyc_i & wbsb_stb_i & !wbsb_ack_o), .r(1'b1), .q(wbsb_ack_o), .clk(wbsb_clk), .rst(wbsb_rst));
1024
`undef MODULE
1025 32 unneback
 
1026
endmodule
1027 40 unneback
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.