OpenCores
URL https://opencores.org/ocsvn/versatile_mem_ctrl/versatile_mem_ctrl/trunk

Subversion Repositories versatile_mem_ctrl

[/] [versatile_mem_ctrl/] [trunk/] [bench/] [tb.v] - Blame information for rev 28

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 mikaeljf
`include "tb_defines.v"
2 9 unneback
`timescale 1ns/1ns
3
module versatile_mem_ctrl_tb
4
  (
5
   output OK
6
   );
7
 
8
   reg    sdram_clk, wb_clk, wb_rst;
9
 
10
   wire [31:0] wb0_dat_i;
11
   wire [3:0]  wb0_sel_i;
12
   wire [31:0] wb0_adr_i;
13
   wire [2:0]  wb0_cti_i;
14
   wire [1:0]  wb0_bte_i;
15
   wire        wb0_cyc_i;
16
   wire        wb0_stb_i;
17
   wire [31:0] wb0_dat_o;
18
   wire        wb0_ack_o;
19
 
20
   wire [31:0] wb1_dat_i;
21
   wire [3:0]  wb1_sel_i;
22
   wire [31:0] wb1_adr_i;
23
   wire [2:0]  wb1_cti_i;
24
   wire [1:0]  wb1_bte_i;
25
   wire        wb1_cyc_i;
26
   wire        wb1_stb_i;
27
   wire [31:0] wb1_dat_o;
28
   wire        wb1_ack_o;
29
 
30
   wire [31:0] wb4_dat_i;
31
   wire [3:0]  wb4_sel_i;
32
   wire [31:0] wb4_adr_i;
33
   wire [2:0]  wb4_cti_i;
34
   wire [1:0]  wb4_bte_i;
35
   wire        wb4_cyc_i;
36
   wire        wb4_stb_i;
37
   wire [31:0] wb4_dat_o;
38
   wire        wb4_ack_o;
39
 
40
   wire [1:0]  ba, bad;
41
   wire [12:0] a, ad;
42
   wire [15:0] dq_i;
43
   wire [15:0] dq_o;
44
   wire [15:0] dq_io;
45 11 mikaeljf
   wire [1:0]  dqs, dqs_n, dqs_i, dqs_o, dqs_n_i, dqs_n_o, dqs_io, dqs_n_io;
46
   wire [1:0]  dqm, dqmd, dm_rdqs;
47
   wire        dq_oe, dqs_oe;
48 9 unneback
   wire        cs_n, cs_nd, ras, rasd, cas, casd, we, wed, cke, cked;
49 14 mikaeljf
   wire        ck_fb_i, ck_fb_o;
50 11 mikaeljf
 
51 15 mikaeljf
`ifdef SDR_16          // SDR SDRAM
52 9 unneback
   wb0 wb0i
53
     (
54
      .adr(wb0_adr_i),
55
      .bte(wb0_bte_i),
56
      .cti(wb0_cti_i),
57
      .cyc(wb0_cyc_i),
58
      .dat(wb0_dat_i),
59
      .sel(wb0_sel_i),
60
      .stb(wb0_stb_i),
61
      .we (wb0_we_i),
62
      .ack(wb0_ack_o),
63
      .clk(wb_clk),
64
      .dat_i(wb0_dat_o),
65
      .reset(wb_rst)
66
      );
67
   wb1 wb1i
68
     (
69
      .adr(wb1_adr_i),
70
      .bte(wb1_bte_i),
71
      .cti(wb1_cti_i),
72
      .cyc(wb1_cyc_i),
73
      .dat(wb1_dat_i),
74
      .sel(wb1_sel_i),
75
      .stb(wb1_stb_i),
76
      .we (wb1_we_i),
77
      .ack(wb1_ack_o),
78
      .clk(wb_clk),
79
      .dat_i(wb1_dat_o),
80
      .reset(wb_rst)
81
      );
82
   wb4 wb4i
83
     (
84
      .adr(wb4_adr_i),
85
      .bte(wb4_bte_i),
86
      .cti(wb4_cti_i),
87
      .cyc(wb4_cyc_i),
88
      .dat(wb4_dat_i),
89
      .sel(wb4_sel_i),
90
      .stb(wb4_stb_i),
91
      .we (wb4_we_i),
92
      .ack(wb4_ack_o),
93
      .clk(wb_clk),
94
      .dat_i(wb4_dat_o),
95
      .reset(wb_rst)
96
      );
97 11 mikaeljf
`endif
98 9 unneback
 
99 15 mikaeljf
`ifdef DDR_16          // DDR2 SDRAM
100 11 mikaeljf
   wb0_ddr wb0i
101
     (
102
      .adr(wb0_adr_i),
103
      .bte(wb0_bte_i),
104
      .cti(wb0_cti_i),
105
      .cyc(wb0_cyc_i),
106
      .dat(wb0_dat_i),
107
      .sel(wb0_sel_i),
108
      .stb(wb0_stb_i),
109
      .we (wb0_we_i),
110
      .ack(wb0_ack_o),
111
      .clk(wb_clk),
112
      .dat_i(wb0_dat_o),
113
      .reset(wb_rst)
114
      );
115
   wb1_ddr wb1i
116
     (
117
      .adr(wb1_adr_i),
118
      .bte(wb1_bte_i),
119
      .cti(wb1_cti_i),
120
      .cyc(wb1_cyc_i),
121
      .dat(wb1_dat_i),
122
      .sel(wb1_sel_i),
123
      .stb(wb1_stb_i),
124
      .we (wb1_we_i),
125
      .ack(wb1_ack_o),
126
      .clk(wb_clk),
127
      .dat_i(wb1_dat_o),
128
      .reset(wb_rst)
129
      );
130
   wb4_ddr wb4i
131
     (
132
      .adr(wb4_adr_i),
133
      .bte(wb4_bte_i),
134
      .cti(wb4_cti_i),
135
      .cyc(wb4_cyc_i),
136
      .dat(wb4_dat_i),
137
      .sel(wb4_sel_i),
138
      .stb(wb4_stb_i),
139
      .we (wb4_we_i),
140
      .ack(wb4_ack_o),
141
      .clk(wb_clk),
142
      .dat_i(wb4_dat_o),
143
      .reset(wb_rst)
144
      );
145
`endif
146
 
147 28 mikaeljf
   versatile_mem_ctrl_top dut
148
   (
149 9 unneback
   .wbs0_dat_i(wb0_dat_i),
150
   .wbs0_dat_o(wb0_dat_o),
151
   .wbs0_adr_i(wb0_adr_i[31:2]),
152
   .wbs0_sel_i(wb0_sel_i),
153
   .wbs0_cti_i(wb0_cti_i),
154
   .wbs0_bte_i(wb0_bte_i),
155
   .wbs0_we_i (wb0_we_i),
156
   .wbs0_cyc_i(wb0_cyc_i),
157
   .wbs0_stb_i(wb0_stb_i),
158
   .wbs0_ack_o(wb0_ack_o),
159
   .wbs1_dat_i(wb1_dat_i),
160
   .wbs1_dat_o(wb1_dat_o),
161
   .wbs1_adr_i(wb1_adr_i[31:2]),
162
   .wbs1_sel_i(wb1_sel_i),
163
   .wbs1_cti_i(wb1_cti_i),
164
   .wbs1_bte_i(wb1_bte_i),
165
   .wbs1_we_i (wb1_we_i),
166
   .wbs1_cyc_i(wb1_cyc_i),
167
   .wbs1_stb_i(wb1_stb_i),
168
   .wbs1_ack_o(wb1_ack_o),
169
   .wbs4_dat_i(wb4_dat_i),
170
   .wbs4_dat_o(wb4_dat_o),
171
   .wbs4_adr_i(wb4_adr_i[31:2]),
172
   .wbs4_sel_i(wb4_sel_i),
173
   .wbs4_cti_i(wb4_cti_i),
174
   .wbs4_bte_i(wb4_bte_i),
175
   .wbs4_we_i (wb4_we_i),
176
   .wbs4_cyc_i(wb4_cyc_i),
177
   .wbs4_stb_i(wb4_stb_i),
178
   .wbs4_ack_o(wb4_ack_o),
179
   // SDR SDRAM 16
180 11 mikaeljf
`ifdef SDR_16
181 9 unneback
   .ba_pad_o(ba),
182
   .a_pad_o(a),
183
   .cs_n_pad_o(cs_n),
184
   .ras_pad_o(ras),
185
   .cas_pad_o(cas),
186
   .we_pad_o(we),
187
   .dq_o(dq_o),
188
   .dqm_pad_o(dqm),
189
   .dq_i(dq_i),
190
   .dq_oe(dq_oe),
191
   .cke_pad_o(cke),
192 11 mikaeljf
`endif
193
`ifdef DDR_16
194
   // DDR2 SDRAM 16
195
   .ck_pad_o(ck),
196
   .ck_n_pad_o(ck_n),
197
   .cke_pad_o(cke),
198 13 mikaeljf
   .ck_fb_pad_o(ck_fb_o),
199
   .ck_fb_pad_i(ck_fb_i),
200 11 mikaeljf
   .cs_n_pad_o(cs_n),
201
   .ras_pad_o(ras),
202
   .cas_pad_o(cas),
203
   .we_pad_o(we),
204 15 mikaeljf
   .dm_rdqs_pad_io(dm_rdqs),
205 11 mikaeljf
   .ba_pad_o(ba),
206
   .addr_pad_o(a),
207 13 mikaeljf
   .dq_pad_io(dq_io),
208
   .dqs_pad_io(dqs_io),
209 11 mikaeljf
   .dqs_oe(dqs_oe),
210 13 mikaeljf
   .dqs_n_pad_io(dqs_n_io),
211 11 mikaeljf
   .rdqs_n_pad_i(),
212
   .odt_pad_o(),
213
`endif
214 9 unneback
   // misc     
215
   .wb_clk(wb_clk),
216
   .wb_rst(wb_rst),
217
   .sdram_clk(sdram_clk)
218
   );
219
 
220 13 mikaeljf
`ifdef SDR_16
221 9 unneback
   assign #1 dq_io = dq_oe ? dq_o : {16{1'bz}};
222
   assign #1 dq_i  = dq_io;
223
   assign #1 dqmd = dqm;
224 11 mikaeljf
   assign #1 dqs_io = dqs_oe ? dqs_o : {2{1'bz}};
225
   assign #1 dqs_i  = dqs_io;
226
   assign #1 dqs_n_io = dqs_oe ? dqs_n_o : {2{1'bz}};
227
   assign #1 dqs_n_i  = dqs_n_io;
228 13 mikaeljf
`endif
229 9 unneback
 
230 13 mikaeljf
`ifdef DDR_16
231
   assign #1 dqmd = dqm;
232 15 mikaeljf
   assign    ck_fb_i = ck_fb_o;
233 13 mikaeljf
`endif
234
 
235 9 unneback
   assign #1 ad = a;
236
   assign #1 bad = ba;
237
   assign #1 cked = cke;
238
   assign #1 cs_nd = cs_n;
239
   assign #1 rasd = ras;
240
   assign #1 casd = cas;
241
   assign #1 wed = we;
242
 
243 15 mikaeljf
`ifdef SDR_16          // SDR SDRAM Simulation model
244 9 unneback
mt48lc16m16a2 sdram
245
  (
246
   .Dq(dq_io),
247
   .Addr(ad),
248
   .Ba(bad),
249
   .Clk(sdram_clk),
250
   .Cke(cked),
251
   .Cs_n(cs_nd),
252
   .Ras_n(rasd),
253
   .Cas_n(casd),
254
   .We_n(wed),
255
   .Dqm(dqmd)
256
   );
257 11 mikaeljf
`endif
258 15 mikaeljf
`ifdef DDR_16          // DDR2 SDRAM Simulation model
259 11 mikaeljf
ddr2 ddr2_sdram
260
  (
261
   .ck(ck),
262
   .ck_n(ck_n),
263
   .cke(cke),
264
   .cs_n(cs_n),
265
   .ras_n(ras),
266
   .cas_n(cas),
267
   .we_n(we),
268
   .dm_rdqs(dm_rdqs),
269
   .ba(ba),
270
   .addr(a),
271
   .dq(dq_io),
272
   .dqs(dqs_io),
273
   .dqs_n(dqs_n_io),
274
   .rdqs_n(),
275
   .odt()
276
   );
277
`endif
278 9 unneback
 
279
   initial
280
     begin
281
        #0 wb_rst = 1'b1;
282
        #200 wb_rst = 1'b1;
283 15 mikaeljf
        #200000 wb_rst = 1'b0;
284 9 unneback
     end
285
 
286
   initial
287
     begin
288
        #0 wb_clk = 1'b0;
289
        forever
290
          #20 wb_clk = !wb_clk;   // 25MHz
291
     end
292
 
293
   initial
294
     begin
295
        #0 sdram_clk = 1'b0;
296
        forever
297
          #4 sdram_clk = !sdram_clk;   // 125MHz
298
     end
299
 
300
endmodule // versatile_mem_ctrl_tb

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.