OpenCores
URL https://opencores.org/ocsvn/versatile_mem_ctrl/versatile_mem_ctrl/trunk

Subversion Repositories versatile_mem_ctrl

[/] [versatile_mem_ctrl/] [trunk/] [sim/] [rtl_sim/] [bin/] [sim_altera.tcl] - Blame information for rev 19

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 mikaeljf
# Usage:
2
# cd /versatile_mem_ctrl/trunk/sim/rtl_sim/run/
3
# vsim -gui -do ../bin/sim_altera.tcl
4
 
5
set DESIGN_NAME "versatile_memory_controller"
6 19 mikaeljf
set WAVE_FILE ../bin/wave_ddr.do
7 15 mikaeljf
set FORCE_LIBRARY_RECOMPILE 0
8
 
9
# Quit simulation if you are running one
10
quit -sim
11
 
12
# Create and open project
13
if {[file exists ${DESIGN_NAME}_sim_altera.mpf]} {
14
project open ${DESIGN_NAME}_sim_altera
15
} else {
16
project new . ${DESIGN_NAME}_sim_altera
17
}
18
 
19
# Compile Altera libraries
20
if {![file exists altera_primitives] || $FORCE_LIBRARY_RECOMPILE} {
21
vlib altera_primitives
22
vmap altera_primitives altera_primitives
23
vcom -work altera_primitives /opt/altera9.0/quartus/eda/sim_lib/altera_primitives_components.vhd
24
vcom -work altera_primitives /opt/altera9.0/quartus/eda/sim_lib/altera_primitives.vhd
25
}
26
if {![file exists altera_mf] || $FORCE_LIBRARY_RECOMPILE} {
27
vlib altera_mf
28
vmap altera_mf altera_mf
29
vcom -work altera_mf /opt/altera9.0/quartus/eda/sim_lib/altera_mf_components.vhd
30
vcom -work altera_mf /opt/altera9.0/quartus/eda/sim_lib/altera_mf.vhd
31
}
32
 
33
# Compile project source code
34
vlog ../../../rtl/verilog/versatile_mem_ctrl_ip.v +incdir+../../../rtl/verilog/
35
 
36
# Compile test bench source code
37
vlog ../../../bench/ddr/ddr2.v +incdir+../../../bench/ddr/
38
vlog ../../../bench/wb0_ddr.v ../../../bench/wb1_ddr.v ../../../bench/wb4_ddr.v +define+x16 ../../../bench/tb_top.v +incdir+../../../bench/
39
 
40
# Quit without asking
41
set PrefMain(forceQuit) 1
42
 
43
# Invoke the simulator
44
# -gui      Open the GUI without loading a design
45
# -novopt   Force incremental mode (pre-6.0 behavior)
46
# -L        Search library for design units instantiated from Verilog and for VHDL default component binding
47
vsim -gui -novopt -L altera_mf work.versatile_mem_ctrl_tb
48
 
49
# Open waveform viewer
50
view wave -title "${DESIGN_NAME}"
51
 
52
# Open signal viewer
53
view signals
54
 
55
# Run the .do file to load signals to the waveform viewer
56 19 mikaeljf
do $WAVE_FILE
57 15 mikaeljf
 
58
# Run the simulation
59
run 330 us
60
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.