OpenCores
URL https://opencores.org/ocsvn/versatile_mem_ctrl/versatile_mem_ctrl/trunk

Subversion Repositories versatile_mem_ctrl

[/] [versatile_mem_ctrl/] [trunk/] [sim/] [rtl_sim/] [bin/] [sim_altera.tcl] - Blame information for rev 28

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 mikaeljf
# Usage:
2
# cd /versatile_mem_ctrl/trunk/sim/rtl_sim/run/
3
# vsim -gui -do ../bin/sim_altera.tcl
4
 
5
set DESIGN_NAME "versatile_memory_controller"
6 19 mikaeljf
set WAVE_FILE ../bin/wave_ddr.do
7 15 mikaeljf
set FORCE_LIBRARY_RECOMPILE 0
8
 
9
# Quit simulation if you are running one
10
quit -sim
11
 
12
# Create and open project
13
if {[file exists ${DESIGN_NAME}_sim_altera.mpf]} {
14
project open ${DESIGN_NAME}_sim_altera
15
} else {
16
project new . ${DESIGN_NAME}_sim_altera
17
}
18
 
19
# Compile Altera libraries
20
if {![file exists altera_primitives] || $FORCE_LIBRARY_RECOMPILE} {
21
vlib altera_primitives
22
vmap altera_primitives altera_primitives
23 28 mikaeljf
vcom -work altera_primitives /opt/altera9.1/quartus/eda/sim_lib/altera_primitives_components.vhd
24
vcom -work altera_primitives /opt/altera9.1/quartus/eda/sim_lib/altera_primitives.vhd
25 15 mikaeljf
}
26
if {![file exists altera_mf] || $FORCE_LIBRARY_RECOMPILE} {
27
vlib altera_mf
28
vmap altera_mf altera_mf
29 28 mikaeljf
vcom -work altera_mf /opt/altera9.1/quartus/eda/sim_lib/altera_mf_components.vhd
30
vcom -work altera_mf /opt/altera9.1/quartus/eda/sim_lib/altera_mf.vhd
31 15 mikaeljf
}
32 28 mikaeljf
if {![file exists lpm] || $FORCE_LIBRARY_RECOMPILE} {
33
vlib lpm
34
vmap lpm lpm
35
vlog -work lpm /opt/altera9.1/quartus/eda/sim_lib/220model.v
36
}
37 15 mikaeljf
 
38
# Compile project source code
39
vlog ../../../rtl/verilog/versatile_mem_ctrl_ip.v +incdir+../../../rtl/verilog/
40
 
41
# Compile test bench source code
42
vlog ../../../bench/ddr/ddr2.v +incdir+../../../bench/ddr/
43
vlog ../../../bench/wb0_ddr.v ../../../bench/wb1_ddr.v ../../../bench/wb4_ddr.v +define+x16 ../../../bench/tb_top.v +incdir+../../../bench/
44
 
45
# Quit without asking
46
set PrefMain(forceQuit) 1
47
 
48
# Invoke the simulator
49
# -gui      Open the GUI without loading a design
50
# -novopt   Force incremental mode (pre-6.0 behavior)
51
# -L        Search library for design units instantiated from Verilog and for VHDL default component binding
52 28 mikaeljf
vsim -gui -novopt -L altera_mf -L lpm work.versatile_mem_ctrl_tb
53 15 mikaeljf
 
54
# Open waveform viewer
55
view wave -title "${DESIGN_NAME}"
56
 
57
# Open signal viewer
58
view signals
59
 
60
# Run the .do file to load signals to the waveform viewer
61 19 mikaeljf
do $WAVE_FILE
62 15 mikaeljf
 
63
# Run the simulation
64
run 330 us
65
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.