OpenCores
URL https://opencores.org/ocsvn/versatile_mem_ctrl/versatile_mem_ctrl/trunk

Subversion Repositories versatile_mem_ctrl

[/] [versatile_mem_ctrl/] [trunk/] [syn/] [altera/] [bin/] [versatile_memory_controller.sdc] - Blame information for rev 20

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 mikaeljf
#**************************************************************
2
# Time Information
3
#**************************************************************
4 20 mikaeljf
# Timing specifications from Micron Data Sheet (DDR2 SDRAM MT47H32M16 -5E)
5 15 mikaeljf
 
6 20 mikaeljf
# Clock cycle time: min=5.00ns, max=8.00ns
7
set tCK 5.000
8 15 mikaeljf
 
9 20 mikaeljf
# Input setup time: tISb=350ps, tISa=600ps
10
set tSU 0.600
11 15 mikaeljf
 
12 20 mikaeljf
# Input hold time: tIHb=470ps, tIHa=600ps
13
set tH  0.600
14
 
15
# DQS output access time from CK/CK#
16
set tDQSCKmin -0.500
17
set tDQSCKmax  0.500
18
 
19
# DQ output access time from CK/CK#
20
set tACmin -0.600
21
set tACmax  0.600
22
 
23
 
24 15 mikaeljf
#**************************************************************
25
# Create Clock
26
#**************************************************************
27
 
28
# Clock frequency
29
set wb_clk_period 20.000
30 20 mikaeljf
set sdram_clk_period $tCK
31 15 mikaeljf
 
32
# Clocks
33 20 mikaeljf
create_clock -name {wb_clk} -period $wb_clk_period [get_ports {wb_clk}]
34
create_clock -name {sdram_clk} -period $sdram_clk_period [get_ports {sdram_clk}]
35 15 mikaeljf
 
36
# Virtual clocks
37
create_clock -name {v_wb_clk_in} -period $wb_clk_period
38
create_clock -name {v_wb_clk_out} -period $wb_clk_period
39
create_clock -name {v_sdram_clk_in} -period $sdram_clk_period
40
create_clock -name {v_sdram_clk_out} -period $sdram_clk_period
41
 
42
#**************************************************************
43
# Create Generated Clock
44
#**************************************************************
45
 
46 20 mikaeljf
create_generated_clock -name sdram_clk_0 -phase 0 -source [get_ports {sdram_clk}] [get_pins {dcm_pll_0|altpll_internal|auto_generated|pll1|clk[0]}]
47
create_generated_clock -name sdram_clk_180 -phase 180 -source [get_ports {sdram_clk}] [get_pins {dcm_pll_0|altpll_internal|auto_generated|pll1|clk[2]}]
48
create_generated_clock -name sdram_clk_270 -phase 270 -source [get_ports {sdram_clk}] [get_pins {dcm_pll_0|altpll_internal|auto_generated|pll1|clk[3]}]
49
create_generated_clock -name ck_pad_o -phase 0 -source [get_pins {ddr_ff_out_inst_2|altddio_out_inst|auto_generated|ddio_outa[0]|clkhi}] [get_ports ck_pad*]
50 15 mikaeljf
 
51
#**************************************************************
52
# Set Clock Latency
53
#**************************************************************
54
 
55
 
56
 
57
#**************************************************************
58
# Set Clock Uncertainty
59
#**************************************************************
60
 
61
derive_clock_uncertainty
62
 
63
 
64
#**************************************************************
65
# Set Input Delay
66
#**************************************************************
67
 
68 20 mikaeljf
set_input_delay -clock {v_sdram_clk_in} -max $tACmax [get_ports {dq_pad_io[*]}]
69
set_input_delay -clock {v_sdram_clk_in} -max $tACmax -clock_fall [get_ports {dq_pad_io[*]}] -add_delay
70
set_input_delay -clock {v_sdram_clk_in} -min $tACmin [get_ports {dq_pad_io[*]}] -add_delay
71
set_input_delay -clock {v_sdram_clk_in} -min $tACmin -clock_fall [get_ports {dq_pad_io[*]}] -add_delay
72 15 mikaeljf
 
73 20 mikaeljf
set_input_delay -clock {v_sdram_clk_in} -max $tDQSCKmax [get_ports {dqs_pad_io[*]}]
74
set_input_delay -clock {v_sdram_clk_in} -max $tDQSCKmax -clock_fall [get_ports {dqs_pad_io[*]}] -add_delay
75
set_input_delay -clock {v_sdram_clk_in} -min $tDQSCKmin [get_ports {dqs_pad_io[*]}] -add_delay
76
set_input_delay -clock {v_sdram_clk_in} -min $tDQSCKmin -clock_fall [get_ports {dqs_pad_io[*]}] -add_delay
77 15 mikaeljf
 
78
 
79
#**************************************************************
80
# Set Output Delay
81
#**************************************************************
82
 
83 20 mikaeljf
set_output_delay -clock {v_sdram_clk_out} -max $tSU [get_ports {dq_pad_io[*]}]
84
set_output_delay -clock {v_sdram_clk_out} -max $tSU -clock_fall [get_ports {dq_pad_io[*]}] -add_delay
85
set_output_delay -clock {v_sdram_clk_out} -min $tH [get_ports {dq_pad_io[*]}] -add_delay
86
set_output_delay -clock {v_sdram_clk_out} -min $tH -clock_fall [get_ports {dq_pad_io[*]}] -add_delay
87 15 mikaeljf
 
88 20 mikaeljf
set_output_delay -clock {v_sdram_clk_out} -max $tSU [get_ports {dqs_pad_io[*]}]
89
set_output_delay -clock {v_sdram_clk_out} -max $tSU -clock_fall [get_ports {dqs_pad_io[*]}] -add_delay
90
set_output_delay -clock {v_sdram_clk_out} -min $tH [get_ports {dqs_pad_io[*]}] -add_delay
91
set_output_delay -clock {v_sdram_clk_out} -min $tH -clock_fall [get_ports {dqs_pad_io[*]}] -add_delay
92 15 mikaeljf
 
93
 
94
#**************************************************************
95
# Set Clock Groups
96
#**************************************************************
97
 
98
 
99
 
100
#**************************************************************
101
# Set False Path
102
#**************************************************************
103
 
104 20 mikaeljf
# Reset
105 15 mikaeljf
set_false_path -from [get_ports {wb_rst}]
106 20 mikaeljf
# Input Timing Exceptions
107
#
108
# Output timing Exceptions
109
set_false_path -setup -rise_from [get_clocks sdram_clk_270] -fall_to [get_clocks v_sdram_clk_out]
110
set_false_path -setup -fall_from [get_clocks sdram_clk_270] -rise_to [get_clocks v_sdram_clk_out]
111
set_false_path -hold -rise_from [get_clocks sdram_clk_270] -rise_to [get_clocks v_sdram_clk_out]
112
set_false_path -hold -fall_from [get_clocks sdram_clk_270] -fall_to [get_clocks v_sdram_clk_out]
113 15 mikaeljf
 
114
 
115
#**************************************************************
116
# Set Multicycle Path
117
#**************************************************************
118
 
119
 
120
 
121
#**************************************************************
122
# Set Maximum Delay
123
#**************************************************************
124
 
125
 
126
 
127
#**************************************************************
128
# Set Minimum Delay
129
#**************************************************************
130
 
131
 
132
 
133
#**************************************************************
134
# Set Input Transition
135
#**************************************************************
136
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.