OpenCores
URL https://opencores.org/ocsvn/versatile_mem_ctrl/versatile_mem_ctrl/trunk

Subversion Repositories versatile_mem_ctrl

[/] [versatile_mem_ctrl/] [trunk/] [syn/] [altera/] [bin/] [versatile_memory_controller.tcl] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 mikaeljf
# Copyright (C) 1991-2009 Altera Corporation
2
# Your use of Altera Corporation's design tools, logic functions 
3
# and other software and tools, and its AMPP partner logic 
4
# functions, and any output files from any of the foregoing 
5
# (including device programming or simulation files), and any 
6
# associated documentation or information are expressly subject 
7
# to the terms and conditions of the Altera Program License 
8
# Subscription Agreement, Altera MegaCore Function License 
9
# Agreement, or other applicable license agreement, including, 
10
# without limitation, that your use is for the sole purpose of 
11
# programming logic devices manufactured by Altera and sold by 
12
# Altera or its authorized distributors.  Please refer to the 
13
# applicable agreement for further details.
14
 
15
# Quartus II: Generate Tcl File for Project
16
# File: versatile_memory_controller.tcl
17
# Generated on: Mon Feb  1 15:14:07 2010
18
 
19
# Usage: quartus_sh -t versatile_memory_controller.tcl
20
 
21
# Load Quartus II Tcl Project package
22
package require ::quartus::project
23
 
24
# Add the next line to get the execute_flow command
25
package require ::quartus::flow
26
 
27
set need_to_close_project 0
28
set make_assignments 1
29
 
30
# Check that the right project is open
31
if {[is_project_open]} {
32
   if {[string compare $quartus(project) "versatile_memory_controller"]} {
33
      puts "Project versatile_memory_controller is not open"
34
      set make_assignments 0
35
   }
36
} else {
37
   # Only open if not already open
38
   if {[project_exists versatile_memory_controller]} {
39
      project_open -revision wb_sdram_ctrl_top versatile_memory_controller
40
   } else {
41
      project_new -revision wb_sdram_ctrl_top versatile_memory_controller
42
   }
43
   set need_to_close_project 1
44
}
45
 
46
# Make assignments
47
if {$make_assignments} {
48
   set_global_assignment -name FAMILY "Stratix III"
49
   set_global_assignment -name DEVICE AUTO
50
   set_global_assignment -name ORIGINAL_QUARTUS_VERSION "9.0 SP2"
51
   set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:18:52  DECEMBER 14, 2009"
52
   set_global_assignment -name LAST_QUARTUS_VERSION "9.0 SP2"
53
   set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
54
   set_global_assignment -name SEARCH_PATH core_prbs/rtl/
55
   set_global_assignment -name SEARCH_PATH core_prbs/
56
   set_global_assignment -name SEARCH_PATH NPU1C_XCVR_reconfig/
57
   set_global_assignment -name SEARCH_PATH Bacchus_PTP_ALTLVDS_DYN_LINERATE_MULTICHANNEL/
58
   set_global_assignment -name SEARCH_PATH Bacchus_PTP_ALTLVDS_DYN_LINERATE_MULTICHANNEL/rate_match_fifo/
59
   set_global_assignment -name SEARCH_PATH Bacchus_PTP_ALTLVDS_DYN_LINERATE_MULTICHANNEL/tx_phase_comp_fifo/
60
   set_global_assignment -name SEARCH_PATH altera/90/ip/altera/sopc_builder_ip/altera_avalon_clock_adapter/
61
   set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
62
   set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
63
   set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
64
   set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
65
   set_global_assignment -name MISC_FILE /home/mikael/opencores/versatile_mem_ctrl/trunk/syn/altera/run/wb_sdram_ctrl_top.dpf
66
   set_global_assignment -name SDC_FILE ../bin/versatile_memory_controller.sdc
67
   set_global_assignment -name VERILOG_FILE ../../../rtl/verilog/versatile_mem_ctrl_ip.v
68
   set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY /home/mikael/opencores/versatile_mem_ctrl/trunk/syn/altera/run -section_id eda_simulation
69
   set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (Verilog)"
70
   set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
71
 
72
   # Commit assignments
73
   export_assignments
74
 
75
   # Compile
76
   execute_flow -compile
77
 
78
 
79
   # Close project
80
   if {$need_to_close_project} {
81
      project_close
82
   }
83
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.