OpenCores
URL https://opencores.org/ocsvn/vga_lcd/vga_lcd/trunk

Subversion Repositories vga_lcd

[/] [vga_lcd/] [tags/] [rel_1/] [syn/] [bin/] [comp.dc] - Blame information for rev 62

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 rudi
###############################################################################
2
#
3
# Actual Synthesis Script
4
#
5
# This script does the actual synthesis
6
#
7
# Author: Rudolf Usselmann
8
#         rudi@asics.ws
9
#
10
# Revision:
11
# 3/7/01 RU Initial Sript
12
#
13
#
14
###############################################################################
15
 
16
# ==============================================
17
# Setup Design Parameters
18
source ../bin/design_spec.dc
19
 
20
# ==============================================
21
# Setup Libraries
22
source ../bin/lib_spec.dc
23
 
24
# ==============================================
25
# Setup IO Files
26
 
27
append log_file                 ../log/$active_design "_cmp.log"
28
append pre_comp_db_file         ../out/$design_name "_pre.db"
29
append post_comp_db_file        ../out/$design_name ".db"
30
append post_syn_verilog_file    ../out/$design_name "_ps.v"
31
set junk_file /dev/null
32
 
33
sh rm -f $log_file
34
 
35
# ==============================================
36
# Setup Misc Variables
37
 
38
set hdlin_enable_vpp true       ;# Important - this enables 'ifdefs
39
 
40
# ==============================================
41
# Read Design
42
 
43
echo "+++++++++ Reading Design ..."                             >> $log_file
44
read_file $pre_comp_db_file                                     >> $log_file
45
 
46
# ==============================================
47
# Operating conditions
48
 
49
echo "+++++++++ Setting up Operation Conditions ..."            >> $log_file
50
current_design $design_name
51
set_operating_conditions WORST                                  >> $log_file
52
 
53
# Turn off automatic wire load selection, as this
54
# always (WHY ???) defaults to "zero_load"
55
#set auto_wire_load_selection false
56
#set_wire_load_mode enclosed                                     >> $log_file
57
#set_wire_load_mode top                                          >> $log_file
58
#set_wire_load_model -name suggested_40K                         >> $log_file
59
 
60
# ==============================================
61
# Setup Clocks and Resets
62
 
63
echo "+++++++++ Setting up Clocks ..."                           >> $log_file
64
 
65
set_drive 0 wb_clk_i
66
set_drive 0 clk_pclk_i
67
 
68
# !!! WISHBONE Clock !!!
69
set clock_period 5
70
create_clock -period $clock_period wb_clk_i
71
set_clock_skew -uncertainty 0.1 wb_clk_i
72
set_clock_transition 0.5 wb_clk_i
73
set_dont_touch_network wb_clk_i
74
 
75
# !!! Pixel Clock !!!
76
set clock_period2 20
77
create_clock -period $clock_period2 clk_pclk_i
78
set_clock_skew -uncertainty 0.5 clk_pclk_i
79
set_clock_transition 0.9 clk_pclk_i
80
set_dont_touch_network clk_pclk_i
81
 
82
# !!! Reset !!!
83
set_drive 0 wb_rst_i
84
set_dont_touch_network wb_rst_i
85
set_drive 0 rst_nreset_i
86
set_dont_touch_network rst_nreset_i
87
 
88
# ==============================================
89
# Setup IOs
90
 
91
echo "+++++++++ Setting up IOs ..."                             >> $log_file
92
 
93
# Need to spell out external IOs
94
 
95
set_driving_cell -cell NAND2D2 -pin Z [all_inputs]              >> $junk_file
96
set_load 0.2 [all_outputs]
97
 
98
set_input_delay -max 2 -clock wb_clk_i [all_inputs]
99
set_output_delay -max 2 -clock wb_clk_i [all_outputs]
100
 
101
set_input_delay -max 2 -clock clk_pclk_i [all_inputs]
102
set_output_delay -max 2 -clock clk_pclk_i [all_outputs]
103
 
104
# ==============================================
105
# Setup Area Constrains
106
set_max_area 0.0
107
set compile_sequential_area_recovery true
108
 
109
# ==============================================
110
# Force Ultra
111
set_ultra_optimization -f
112
 
113
# ==============================================
114
# Compile Design
115
 
116
echo "+++++++++ Starting Compile ..."                           >> $log_file
117
#compile -map_effort low -area_effort low                       >> $log_file
118
compile -map_effort high -area_effort high -boundary_optimization -auto_ungroup >> $log_file
119
 
120
# ==============================================
121
# Write Out the optimized design
122
 
123
echo "+++++++++ Saving Optimized Design ..."                    >> $log_file
124
write_file -hierarchy -format verilog -output $post_syn_verilog_file
125
write_file -hierarchy -format db      -output $post_comp_db_file
126
 
127
# ==============================================
128
# Create Some Basic Reports
129
 
130
echo "+++++++++ Reporting Final Results ..."                    >> $log_file
131
report_timing -nworst 10                                        >> $log_file
132
report_area                                                     >> $log_file
133
 
134
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.