OpenCores
URL https://opencores.org/ocsvn/vga_lcd/vga_lcd/trunk

Subversion Repositories vga_lcd

[/] [vga_lcd/] [tags/] [rel_19/] [bench/] [verilog/] [wb_slv_model.v] - Blame information for rev 29

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 rudi
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  WISHBONE Slave Model                                       ////
4
////                                                             ////
5
////                                                             ////
6
////  Author: Rudolf Usselmann                                   ////
7
////          rudi@asics.ws                                      ////
8
////                                                             ////
9
////                                                             ////
10
////  Downloaded from: http://www.opencores.org/cores/wb_dma/    ////
11
////                                                             ////
12
/////////////////////////////////////////////////////////////////////
13
////                                                             ////
14
//// Copyright (C) 2001 Rudolf Usselmann                         ////
15
////                    rudi@asics.ws                            ////
16
////                                                             ////
17
//// This source file may be used and distributed without        ////
18
//// restriction provided that this copyright statement is not   ////
19
//// removed from the file and that any derivative work contains ////
20
//// the original copyright notice and the associated disclaimer.////
21
////                                                             ////
22
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
23
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
24
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
25
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
26
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
27
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
28
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
29
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
30
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
31
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
32
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
33
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
34
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
35
////                                                             ////
36
/////////////////////////////////////////////////////////////////////
37
 
38
//  CVS Log
39
//
40 29 rherveille
//  $Id: wb_slv_model.v,v 1.2 2002-02-07 05:38:32 rherveille Exp $
41 16 rudi
//
42 29 rherveille
//  $Date: 2002-02-07 05:38:32 $
43
//  $Revision: 1.2 $
44
//  $Author: rherveille $
45 16 rudi
//  $Locker:  $
46
//  $State: Exp $
47
//
48
// Change History:
49
//               $Log: not supported by cvs2svn $
50 29 rherveille
//               Revision 1.1  2001/08/21 05:42:32  rudi
51 16 rudi
//
52 29 rherveille
//               - Changed Directory Structure
53
//               - Added verilog Source Code
54
//               - Changed IO pin names and defines statements
55 16 rudi
//
56
//
57 29 rherveille
//
58
//
59 16 rudi
 
60
`include "wb_model_defines.v"
61
 
62
module wb_slv(clk, rst, adr, din, dout, cyc, stb, sel, we, ack, err, rty);
63
 
64
input           clk, rst;
65
input   [31:0]   adr, din;
66
output  [31:0]   dout;
67
input           cyc, stb;
68
input   [3:0]    sel;
69
input           we;
70
output          ack, err, rty;
71
 
72
////////////////////////////////////////////////////////////////////
73
//
74
// Local Wires
75
//
76
 
77
parameter       mem_size = 13;
78
parameter       sz = (1<<mem_size)-1;
79
 
80
reg     [31:0]   mem[sz:0];
81
wire            mem_re, mem_we;
82
wire    [31:0]   tmp;
83
reg     [31:0]   dout, tmp2;
84
 
85
reg             err, rty;
86
reg     [31:0]   del_ack;
87
reg     [5:0]    delay;
88
 
89
////////////////////////////////////////////////////////////////////
90
//
91
// Memory Logic
92
//
93
 
94
initial
95
   begin
96
        delay = 0;
97
        err = 0;
98
        rty = 0;
99
        #2;
100
        $display("\nINFO: WISHBONE MEMORY MODEL INSTANTIATED (%m)");
101
        $display("      Memory Size %0d address lines %0d words\n",
102
                mem_size, sz+1);
103
   end
104
 
105
assign mem_re = cyc & stb & !we;
106
assign mem_we = cyc & stb &  we;
107
 
108
assign  tmp = mem[adr[mem_size+1:2]];
109
 
110
always @(sel or tmp or mem_re or ack)
111
        if(mem_re & ack)
112
           begin
113
                dout[31:24] <= #1 sel[3] ? tmp[31:24] : 8'hxx;
114
                dout[23:16] <= #1 sel[2] ? tmp[23:16] : 8'hxx;
115
                dout[15:08] <= #1 sel[1] ? tmp[15:08] : 8'hxx;
116
                dout[07:00] <= #1 sel[0] ? tmp[07:00] : 8'hxx;
117
           end
118
        else    dout <= #1 32'hzzzz_zzzz;
119
 
120
 
121
always @(sel or tmp or din)
122
   begin
123
        tmp2[31:24] = !sel[3] ? tmp[31:24] : din[31:24];
124
        tmp2[23:16] = !sel[2] ? tmp[23:16] : din[23:16];
125
        tmp2[15:08] = !sel[1] ? tmp[15:08] : din[15:08];
126
        tmp2[07:00] = !sel[0] ? tmp[07:00] : din[07:00];
127
   end
128
 
129
always @(posedge clk)
130
        if(mem_we)      mem[adr[mem_size+1:2]] <= #1 tmp2;
131
 
132
always @(posedge clk)
133 29 rherveille
        del_ack = ack ? 0 : {del_ack[30:0], cyc & stb};
134 16 rudi
 
135 29 rherveille
assign  ack = cyc & stb & ((delay==0) ? 1'b1 : del_ack[delay-1]);
136 16 rudi
 
137
task fill_mem;
138
input           mode;
139
 
140
integer         n, mode;
141
 
142
begin
143
 
144
for(n=0;n<(sz+1);n=n+1)
145
   begin
146
        case(mode)
147
           0:    mem[n] = { ~n[15:0], n[15:0] };
148
           1:   mem[n] = $random;
149
           2:   mem[n] = { n[5:0], 2'h3, n[5:0], 2'h2, n[5:0], 2'h1, n[5:0], 2'h0};
150
        endcase
151
   end
152
 
153
end
154
endtask
155
 
156
endmodule
157 29 rherveille
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.