OpenCores
URL https://opencores.org/ocsvn/vga_lcd/vga_lcd/trunk

Subversion Repositories vga_lcd

[/] [vga_lcd/] [tags/] [rel_19/] [bench/] [verilog/] [wb_slv_model.v] - Blame information for rev 61

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 rudi
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  WISHBONE Slave Model                                       ////
4
////                                                             ////
5
////                                                             ////
6
////  Author: Rudolf Usselmann                                   ////
7
////          rudi@asics.ws                                      ////
8
////                                                             ////
9
////                                                             ////
10 52 rherveille
////  Downloaded from: http://www.opencores.org/cores/vga_lcd/   ////
11 16 rudi
////                                                             ////
12
/////////////////////////////////////////////////////////////////////
13
////                                                             ////
14
//// Copyright (C) 2001 Rudolf Usselmann                         ////
15
////                    rudi@asics.ws                            ////
16
////                                                             ////
17
//// This source file may be used and distributed without        ////
18
//// restriction provided that this copyright statement is not   ////
19
//// removed from the file and that any derivative work contains ////
20
//// the original copyright notice and the associated disclaimer.////
21
////                                                             ////
22
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
23
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
24
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
25
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
26
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
27
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
28
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
29
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
30
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
31
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
32
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
33
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
34
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
35
////                                                             ////
36
/////////////////////////////////////////////////////////////////////
37
 
38
//  CVS Log
39
//
40 52 rherveille
//  $Id: wb_slv_model.v,v 1.3 2003-05-07 09:45:28 rherveille Exp $
41 16 rudi
//
42 52 rherveille
//  $Date: 2003-05-07 09:45:28 $
43
//  $Revision: 1.3 $
44 29 rherveille
//  $Author: rherveille $
45 16 rudi
//  $Locker:  $
46
//  $State: Exp $
47
//
48
// Change History:
49
//               $Log: not supported by cvs2svn $
50 52 rherveille
//               Revision 1.2  2002/02/07 05:38:32  rherveille
51
//               Added wb_ack delay section to testbench
52
//
53 29 rherveille
//               Revision 1.1  2001/08/21 05:42:32  rudi
54 16 rudi
//
55 29 rherveille
//               - Changed Directory Structure
56
//               - Added verilog Source Code
57
//               - Changed IO pin names and defines statements
58 16 rudi
//
59
//
60 29 rherveille
//
61
//
62 16 rudi
 
63
`include "wb_model_defines.v"
64
 
65
module wb_slv(clk, rst, adr, din, dout, cyc, stb, sel, we, ack, err, rty);
66
 
67
input           clk, rst;
68
input   [31:0]   adr, din;
69
output  [31:0]   dout;
70
input           cyc, stb;
71
input   [3:0]    sel;
72
input           we;
73
output          ack, err, rty;
74
 
75
////////////////////////////////////////////////////////////////////
76
//
77
// Local Wires
78
//
79
 
80
parameter       mem_size = 13;
81
parameter       sz = (1<<mem_size)-1;
82
 
83
reg     [31:0]   mem[sz:0];
84
wire            mem_re, mem_we;
85
wire    [31:0]   tmp;
86
reg     [31:0]   dout, tmp2;
87
 
88 52 rherveille
reg             ack, err, rty;
89 16 rudi
reg     [31:0]   del_ack;
90
reg     [5:0]    delay;
91
 
92
////////////////////////////////////////////////////////////////////
93
//
94
// Memory Logic
95
//
96
 
97
initial
98
   begin
99
        delay = 0;
100 52 rherveille
        ack = 0;
101 16 rudi
        err = 0;
102
        rty = 0;
103
        #2;
104
        $display("\nINFO: WISHBONE MEMORY MODEL INSTANTIATED (%m)");
105
        $display("      Memory Size %0d address lines %0d words\n",
106
                mem_size, sz+1);
107
   end
108
 
109
assign mem_re = cyc & stb & !we;
110
assign mem_we = cyc & stb &  we;
111
 
112
assign  tmp = mem[adr[mem_size+1:2]];
113
 
114
always @(sel or tmp or mem_re or ack)
115
        if(mem_re & ack)
116
           begin
117
                dout[31:24] <= #1 sel[3] ? tmp[31:24] : 8'hxx;
118
                dout[23:16] <= #1 sel[2] ? tmp[23:16] : 8'hxx;
119
                dout[15:08] <= #1 sel[1] ? tmp[15:08] : 8'hxx;
120
                dout[07:00] <= #1 sel[0] ? tmp[07:00] : 8'hxx;
121
           end
122
        else    dout <= #1 32'hzzzz_zzzz;
123
 
124
 
125
always @(sel or tmp or din)
126
   begin
127
        tmp2[31:24] = !sel[3] ? tmp[31:24] : din[31:24];
128
        tmp2[23:16] = !sel[2] ? tmp[23:16] : din[23:16];
129
        tmp2[15:08] = !sel[1] ? tmp[15:08] : din[15:08];
130
        tmp2[07:00] = !sel[0] ? tmp[07:00] : din[07:00];
131
   end
132
 
133
always @(posedge clk)
134
        if(mem_we)      mem[adr[mem_size+1:2]] <= #1 tmp2;
135
 
136
always @(posedge clk)
137 29 rherveille
        del_ack = ack ? 0 : {del_ack[30:0], cyc & stb};
138 16 rudi
 
139 52 rherveille
always  ack = #1 cyc & stb & ((delay==0) ? 1'b1 : del_ack[delay-1]);
140 16 rudi
 
141 52 rherveille
 
142 16 rudi
task fill_mem;
143 52 rherveille
input mode;
144
integer n, mode;
145 16 rudi
 
146
begin
147
for(n=0;n<(sz+1);n=n+1)
148
   begin
149
        case(mode)
150
           0:    mem[n] = { ~n[15:0], n[15:0] };
151
           1:   mem[n] = $random;
152
           2:   mem[n] = { n[5:0], 2'h3, n[5:0], 2'h2, n[5:0], 2'h1, n[5:0], 2'h0};
153
        endcase
154
   end
155 52 rherveille
end
156
endtask
157 16 rudi
 
158 52 rherveille
task set_delay;
159
input dly;
160
 
161
reg [5:0] dly;
162
begin
163
    delay = dly;
164
    $display("\n INFO: WISHBONE MEMORY MODEL (%M)");
165
    $display("       Delay set to %d\n", delay);
166 16 rudi
end
167
endtask
168
 
169
endmodule
170 29 rherveille
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.