OpenCores
URL https://opencores.org/ocsvn/vhdl-pipeline-mips/vhdl-pipeline-mips/trunk

Subversion Repositories vhdl-pipeline-mips

[/] [vhdl-pipeline-mips/] [trunk/] [shared_components/] [records_pkg.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 elujan
--
2
-- Registros que agrupan señales de control
3
--
4
-- Licencia: Copyright 2008 Emmanuel Luján
5
--
6
--      This program is free software; you can redistribute it and/or
7
--      modify it under the terms of the GNU General Public License as
8
--      published by the Free Software Foundation; either version 2 of
9
--      the License, or (at your option) any later version. This program
10
--      is distributed in the hope that it will be useful, but WITHOUT
11
--      ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
12
--      or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public
13
--      License for more details. You should have received a copy of the
14
--      GNU General Public License along with this program; if not, write
15
--      to the Free Software Foundation, Inc., 51 Franklin St, Fifth Floor,
16
--      Boston, MA 02110-1301 USA.
17
-- 
18
-- Autor:       Emmanuel Luján
19
-- Email:       info@emmanuellujan.com.ar
20
-- Versión:    1.0
21
--
22
 
23
 
24
library ieee;
25
use ieee.std_logic_1164.all;
26
use ieee.numeric_std.all;
27
 
28
package RECORDS_PKG is
29
 
30
 
31
        --Resgitros que aunan las salidas de la Unidad de Control
32
 
33
        type WB_CTRL_REG is
34
        record
35
                RegWrite        :       STD_LOGIC;      --Señal de habilitación de escritura
36
                MemtoReg        :       STD_LOGIC;      --Señal de habilitación  
37
        end record;
38
 
39
        type MEM_CTRL_REG is
40
        record
41
                Branch          :       STD_LOGIC;      --Señal de habilitación
42
                MemRead         :       STD_LOGIC;      --Señal de habilitación
43
                MemWrite        :       STD_LOGIC;      --Señal de habilitación
44
        end record;
45
 
46
        type ALU_OP_INPUT is
47
        record
48
                Op0             :       STD_LOGIC;
49
                Op1             :       STD_LOGIC;
50
                Op2             :       STD_LOGIC;
51
        end record;
52
 
53
        type EX_CTRL_REG is
54
        record
55
                RegDst          :       STD_LOGIC;      --Señal de habilitación
56
                ALUOp           :       ALU_OP_INPUT;
57
                ALUSrc          :       STD_LOGIC;      --Señal de habilitación
58
        end record;
59
 
60
 
61
        --Registro que auna las entradas de la ALU 
62
 
63
        type ALU_INPUT is
64
        record
65
                Op0             :       STD_LOGIC;
66
                Op1             :       STD_LOGIC;
67
                Op2             :       STD_LOGIC;
68
                Op3             :       STD_LOGIC;
69
        end record;
70
 
71
        --Registro que auna las flags de la ALU 
72
 
73
        type ALU_FLAGS is
74
        record
75
                Carry           :       STD_LOGIC;
76
                Overflow        :       STD_LOGIC;
77
                Zero            :       STD_LOGIC;
78
                Negative        :       STD_LOGIC;
79
        end record;
80
 
81
 
82
end RECORDS_PKG;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.