OpenCores
URL https://opencores.org/ocsvn/vhld_tb/vhld_tb/trunk

Subversion Repositories vhld_tb

[/] [vhld_tb/] [trunk/] [examples/] [example1/] [build_tb.bat] - Blame information for rev 14

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sckoarn
 
2
vcom  vhdl/example_dut_ent.vhd  vhdl/example_dut_bhv.vhd
3
 
4
vcom  vhdl/example_dut_tb_ent.vhd  vhdl/example_dut_tb_bhv.vhd
5
vcom  vhdl/example_dut_ttb_ent.vhd  vhdl/example_dut_ttb_str.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.