OpenCores
URL https://opencores.org/ocsvn/vhld_tb/vhld_tb/trunk

Subversion Repositories vhld_tb

[/] [vhld_tb/] [trunk/] [examples/] [example1/] [stm/] [stimulus_file.stm] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sckoarn
--  example stimulus file
2
 
3
 
4
DEFINE_VAR DATA  0
5
DEFINE_VAR ADDR1  4
6
DEFINE_VAR ADDR2  5
7
 
8
 
9
RESET_SYS
10
 
11
LOOP 10
12
  WRITE_DUT $ADDR1 $DATA
13
  READ_PINS 0
14
  VERIFY $DATA
15
  ADD_VAR DATA 1
16
 
17
  WRITE_DUT $ADDR2 $DATA
18
  READ_PINS 1
19
  VERIFY $DATA
20
  ADD_VAR DATA 1
21
 
22
END_LOOP
23
 
24
 
25
 
26
FINISH

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.