OpenCores
URL https://opencores.org/ocsvn/vhld_tb/vhld_tb/trunk

Subversion Repositories vhld_tb

[/] [vhld_tb/] [trunk/] [examples/] [example1/] [vhdl/] [example_dut_ent.vhd] - Blame information for rev 17

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sckoarn
library IEEE;
2
use     IEEE.STD_LOGIC_1164.ALL;
3
use     IEEE.STD_LOGIC_UNSIGNED.ALL;
4
use     IEEE.STD_LOGIC_ARITH.ALL;
5
use     std.textio.all;
6
 
7
entity example_dut is
8
  port(
9
       EX_RESET_N              : in    std_logic;
10
       EX_CLK_IN               : in    std_logic;
11
       --  interface pins
12
       EX_DATA1                : out   std_logic_vector(31 downto 0);
13
       EX_DATA2                : out   std_logic_vector(31 downto 0);
14
       --  env access port
15
       STM_ADD                 : in    std_logic_vector(31 downto 0);
16
       STM_DAT                 : inout std_logic_vector(31 downto 0);
17
       STM_RWN                 : in    std_logic;
18
       STM_REQ_N               : in    std_logic;
19
       STM_ACK_N               : out   std_logic
20
      );
21
end example_dut;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.