OpenCores
URL https://opencores.org/ocsvn/vhld_tb/vhld_tb/trunk

Subversion Repositories vhld_tb

[/] [vhld_tb/] [trunk/] [examples/] [example1/] [vhdl/] [example_dut_tb_ent.vhd] - Blame information for rev 17

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sckoarn
--  ttb_gen generated file
2
library IEEE;
3
library ieee_proposed;
4
library work;
5
use IEEE.STD_LOGIC_1164.all;
6
use IEEE.STD_LOGIC_ARITH.all;
7
use ieee_proposed.STD_LOGIC_1164_additions.all;
8
use std.textio.all;
9
use work.tb_pkg.all;  --  test bench package
10
 
11
 
12
entity example_dut_tb is
13
   generic (
14
            stimulus_file: in string
15
           );
16
   port (
17
         ex_reset_n : buffer  std_logic;
18
         ex_clk_in  : buffer  std_logic;
19
         ex_data1   : in      std_logic_vector(31 downto 0);
20
         ex_data2   : in      std_logic_vector(31 downto 0);
21
         stm_add    : buffer  std_logic_vector(31 downto 0);
22
         stm_dat    : inout   std_logic_vector(31 downto 0);
23
         stm_rwn    : buffer  std_logic;
24
         stm_req_n  : buffer  std_logic;
25
         stm_ack_n  : in      std_logic
26
        );
27
end example_dut_tb;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.